1 / 19
文档名称:

EDA技术习题集及答案.pdf

格式:pdf   大小:1,562KB   页数:19页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA技术习题集及答案.pdf

上传人:1781111**** 2024/5/6 文件大小:1.53 MB

下载得到文件列表

EDA技术习题集及答案.pdf

相关文档

文档介绍

文档介绍:该【EDA技术习题集及答案 】是由【1781111****】上传分享,文档一共【19】页,该文档可以免费在线阅读,需要了解更多关于【EDA技术习题集及答案 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。:..EDA概述一、——万只晶体管。——、——和——三个阶段。——阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PcB)布局布线等工作。——阶段,人们可以将计算机作为单点设计工具,并建立各种单元库,开始用计算机将许多单点工具集成在一起使用。——、——、——和——四个步骤。——、——和——三个过程。——、——和———。——进行电路设计的方式。,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为——。、布线之后进行的时序关系仿真,因此又称为——或——。————和——。,是基于芯片的设计或称之为——的设计。,产生了目前最常用的并称之为——的设计法。——、——、———、———和——等五个模块。——————。二、,并送入计算机的过程称为()。①设计输入②设计输出③仿真④()几个阶段。①2②3③4④()描述语言。①普通硬件②行为③高级④低级:..vHDL属于()描述语言。①普通硬件②行为③高级④、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为()。①设计输入②设计处理③功能仿真④,应立即对设计文件进行()。①编辑②编译③功能仿真④,可产生供器件编程使用的数据文件,对于CPLD来说是产生()文件。①熔丝图②位流数据③图形④,对于FPGA来说是生成()文件。①熔丝图②位流数据③图形④()年正式推出的。①1983②1985③]987④()年正式推出的。①1983②1985③1987④()。①VHDL②VerilogHDL③()的设计。①自底向上②自顶向下③积木式④()设计法。①自底向上②自顶向下③积木式④,能将硬件描述语言转化为硬件电路的重要工具软件称为()。①仿真器②综合器③适配器④,能完成在目标系统器件上布局布线软件称为()。①仿真器②综合器③适配器④下载器参考答案:一、:..CAD、CAE、、设计输入、设计处理、、时序仿真、、图形输入、、、(Bottom—Up)(Top-Down)、仿真器、HDL综合器、适配器(或布局布线器)、、单项选择题1.①2.②,3.①,4.②,5.②,6.②,7.①,8.②,9.②,10.①,11.②,12.①13.②,14.②,15.③第二章EDA工具软件的使用一、填空题1、MAX+PLUSII是Altera公司自己开发的___________软件。2、MAX+PLUSII工具软件安装成功后,第一次运行MAX+PLUSII前,还必须_________。3、在WINDOWS2000环境下安装MAX+PLUSII工具软件后,为了使用编程下载功能,还必须安装_________。4、MAX+PLUSII支持_______、_________、__________和_____________等不同的编辑方式。5、MAX+PLUSII的输入法设计的文件不能直接保存在根目录上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的___________。6、若在MAX+PLUSII集成环境下,执行原理图输入设计法,应选择______方式。:..MAX+PLUSII集成环境下,执行文本输入设计法,应选择______方式。8、是MAX+PLUSII________元件库,包括门电路、触发器、电源、输入、输出等元件。9、是_________元件库,包括加法器、编码器、译码器、计数器、移位寄存器等74系列器件。10、是_______元件库,包括参数可设置的与门lpm_and、参数可预置的三态缓冲器lpm_bustri等元件。11、图形文件设计结束后一定要通过__________,检查设计文件是否正确。12、在MAX+PLUSII集成环境下可以执行________命令,为通过编译的图形文件产生一个元件符号。这个元件符号可以被其他图形设计文件________,以实现多层次的系统电路设计。13、仿真也称为________,是对电路设计的一种间接检测方法。14、执行MAX+PLUSII的“TimingAnlyzer命令,可以_____设计电路输入与输出波间的延时量。15、指定设计电路的输入/输出端口与目标芯片引脚的连接关系过程称为_____________。16、MAX+PLUSII的波形文件类型是____________。17、指定设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称为_________或___________。18、以EDA方式实现的电路设计文件,最终可以编程下载到________或________芯片中完成硬件设计和验证。19、在初次安装MAX+PLUSII软件后的第一次对设计文件编程下载时,需要选择的Byteblaster(MV)编程方式,此编程方式对应计算机的_____编程下载通道,“MV”是-______的意思。20、层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的,先从底层的电路设计开始,然后在______的设计中逐级调用_______的设计结果,直到实现系统电路的设计。二、选择题1、MAX+PLUSII是()。①高级语占②硬件捅述语言③EDA上具软件④综合软件2、MAX+PLUSII工具软件具有()等功能。①编辑②编译③编程④以上均可:..MAX+PLUSII工具软件实现原理图设计输入,应采用()方式。①图形编辑②文本编辑③符号编辑④波形编辑4、使用MAX+PLUSII的图形编辑方式输入的电路原理图文件必须通过()才能进行仿真验证。①编辑②编译③综合④编程5、MAX+PLUSII的设计文件不能直接保存在()。①硬盘②根目录③文件夹④工程目录6、使用MAX+PLUSII工具软件实现文本输入,应采用()。①图形编辑②文本编辑③符号编辑④波形编辑7、使用MAX+PLUSII工具软件建立仿真文件,应采用()方式。①图形编辑②文本编辑③符号编辑④波形编辑8、使用MAX+PLUSII工具软件修改设计元件符号,应采用()方式。①图形编辑②文本编辑③符号编辑④波形编辑9、在MAX+PLUSII工具软件中,包括门电路、触发器、电源、输入、输出等元件的元件库是()文件夹。①②③④10、在MAX+PLUSII工具软件中,包括加法器、骗码器、译码器、计数器等74系列器件的元件库是()文件夹。①②③④11、在MAX+PLUSII工具软件中,包括参数可设置的与门lpm-and、参数可预置的三态级冲器lpm_bustri等元件的元件库是()文件夹。①②③④12、在MAX+PLUSII工具软件中,,完成编译网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取编程文件汇编等操作,并检查设计文件是否正确的过程称为()。①编辑②编译③综合④编程13、在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要用途是()。①仿真②编译③综合④被高层次电路设计调用14、仿真是对电路设计的一种()检测方法。①直接的②间接的③同步的④异步的:..执行MAX+PLUSII的()命令,可以精确分析设计电路输入与输出波形间的延时量。①Createdefaultsymbol②piler④Timeanalyzer16、执行MAX+PLUSII的()命令,可以对设计电路进行功能仿真或者时序仿真。①Createdefaultsymbol②piler④Timeanalyzer17、执行MAX+PLUSII的()命令,可以为设计电路建立一个元件符号。①Createdefaultsymbol②piler④Timeanalyzer18、执行MAX+PLUSII的()命令,可以检查设计电路错误。①Createdefaultsymbol②piler④Timeanalyzer19、MAX+PLUSII的波形文件类型是()。①.scf②.gdf③.vhd④.v20、MAX+PLUSII的图形设计文件类型是()。①.scf②.gdf③.vhd④.v三、应用题1、用原理图输入法设计1位全加器sub_1,并仿真验证设计结果。2、用原理图输入法设计8线3线普通编码器,高电平为输出有效电平。3、利用练****题1的1位全减器sub_1设计结果设计4位全减器sub_4,并仿真验证设计结果。4、用D触发器设计4位右移移位寄存器,并仿真验证设计结果。5、用参数设置的锁存器模块lpm_latch实现16位锁存器,并仿真验证设计结果。参考答案一、、符号、文本、(文件夹)(GraphicEditorfile)(TextEditfile)(01d-styleMacrofunctions:(Megafuctions):..(Compiler)12.“(Similation).“.scf”,,,,低层次二、选择题1.②,2.④,3.①,4.①,5.②,6.②,7.④,8.③,9.③,10.①,11.②,12.②,13.④,14.②,15.④,16.②,17①,18.③,19.①,20.②。第三章VHDL语言一、填空题1、VHDL于1985年正式推出,是目前____________的硬件描述语言。2、IEEE于1987年将VHDL采纳为___________标准。3、用VHDL书写的源文件,既是___________以是________________,既是工程技术人员之间交换信息的文件,以可作为合同签约者之间的文件。4、一般将一个完整的VHDL程序称为_________________。5、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能模块_______________和_________________。6、VHDL设计实体的基本结构由____________、____________、___________、________________的________________等部分构成。7、_______________和_________________是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。8、IEEE于1987年公布了VHDL的__________语法标准。9、IEEE于1993年公布了VHDL的__________语法标准。10、根据VHDL语法规则,在VHDL程序中使用的文字、数据对象、数据类:..。11、在VHDL中最常用的库是_________标准库,最常用的程序包是__________程序包。12、VHDL的实体由___________部分和____________组成。13、VHDL的实体声明部分指定了设计单元的____________或_______________,它是设计实体对外一个通信界面,是外界可以看到的部分。14、VHDL的结构体用来描述设计实体的_________和____________,它由VHDL语句构成,是外界看不到的部分。15、在VHDL的端口声明语句中,端口方向包括_______、_________、__________和________。16、VHDL的数字型文字包括_______、_________、__________和________。17、VHDL的字符是以-____________括起来的数字、字母和符号。18、VHDL的标识符名必须以_____________,后跟若干字母、数字或单个下划线构成,但最后不能为__________。19、VHDL的数据对象包括_________、__________和_______,它们是用来存放各种类型数据的容器。20、VHDL的变量(VARIABLE)是一个______________,它只能在进程、函数和过程中声明和使用。21、VHDL的信号(SIGNAL)是一种数值容器,不仅可以容纳_________,也可以保持_____。22、常数(CONSTANT)是程序中的一个_________的值,一般在__________声明。23、VHDL的数据类型包括_______、_________、__________和________。24、VHDL的标量型(scalarType)是单元素的最基本数据类型,包括_______、_________、__________和________。25、在VHDL中,标准逻辑位数据有_________种逻辑值。26、VHDL的操作符包括_______、_________、__________和_______四类。27、在VHDL中,预定义的_____可用于检出时钟边沿、完整定时检查、获得未约束的数据类型的范围等。28、VHDL的基本描述语句包括____________和____________。29、VHDL的顺序语句只能出现在_________、__________和______中,是按程序书写的顺序自上而下、一条一条地执行。30、VHDL并行语句在结构体中的执行是____________的,其执行方式与语句书写的顺序无关。:..VHDL的各种并行语句之间,可以有___________来交换信息。32、VHDL的PROCESS(进程)语句是由_______________组成的,但其本身却是___________。33、VHDL的并行信号赋值语句的赋值目标必须都是-________________。34、VHDL的子程序有______________和______________两种类型。35、VHDL的过程分为过程首和过程体两部分,调用前需要将它们装入__________中。36、VHDL的函数分为______________和______________两部分,调用前需要将它们装入程序包中。37、元件例化是将预先设计好的设计实体作为一个___________,连接到当前设计实体中一个指定的_______________________。38、在PC上或工作站利用VHDL进行项目设计,不允许在__________下进行,必须在根目录下为设计建立一个工程目录(即文件夹)。39、程序包是用VHDL语言编写的,其源程序也需要以____________文件类型保存。40、VHDL的源文件是用EDA工具的文本编辑方式输入的,、选择题3、一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整VHDL程序称为()。①设计输入②设计输出③设计实体④设计结构4、VHDL的设计实体可以被高层次的系统(),成为系统的一部分。①输入②输出③仿真④调用5、VHDL常用的库是()标准库。①IEEE②STD③WORK④PACKAGE6、VHDL的实体声明部分用来指定设计单元的()。①输入端口②输出口③引脚④以上均可7、一个实体可以拥有一个或多个()。①设计实体②结构体③输入④输出8、在VHDL的端口声明语句中,用()声明端口为输入方向。①IN②OUT③INOUT④BUFFER9、在VHDL的端口声明语句中,用()声明端口为输出方向。①IN②OUT③INOUT④BUFFER10、在VHDL的端口声明语句中,用()声明端口为双向方向。:..②OUT③INOUT④BUFFER15、在VHDL中,16#FE#属于()文字。①整数②以数制基数表示的③实数④物理量17、在VHDL标识符命名规则中,以()开头的标识符是正确的。①字母②数字③字母或数字④下划线18、在VHDL中,可以用()表示数据或地址总线的名称。①下标名②段名③总线名④字符串19、在下列标识中,()是VHDL合法的标识符。①4h_adde②h_adder_③h_adder④_h_adder22、在VHDL中,()的数据传输是立即发生的,不存在任何延时的行为。①信号②常量③数据④变量23、在VHDL中,()的数据传输不是立即发生的,目标信号的赋值是需要一定延时时间。①信号②常量③数据④变量24、在VHDL中,为目标变量的赋值符号是()。①=:②=③:=④<=25、在VHDL中,为目标信号的赋值符号是()。①=:②=③:=④<=29、在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有()种逻辑值。①2②3③8④930、在VHDL的IEEE标准库中,预定义的位数据类型BIT有()种逻辑值。①2②3③8④934、在VHDL中,用语句()表示检测clock的上升沿。①clockevent②clock’eventandxlock=’1’③clock=’1’④clock’eventandxlock=’0’35、在VHDL中,用语句()表示检测clock的下降沿。①clock’event②clock’eventandxlock=’1’③clock=’0’④clock’eventandxlock=’0’36、在VHDL中,IF语句中至少应有1个条件句,条件句必须由()表达式构成。①BIT②STD_LOGIC③BOOLEAN④任意37、在VHDL的CASE语句中,条件句中的“=>”不是操作符,它只相当于()的作用。:..②THEN③AND④OR38、在VHDL的FOR---LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部变量,()事先声明。①必须②不必③其类型要④其属性要39、在VHDL中,语句“FORnTO7LOOP”定义循环次数为()次。①8②7③0④140、在VHDL中,含WAIT语句的进程PROCESS的括弧中()再加敏感信号,否则是非法的。①可以②不能③任意④只能41、在VHDL的并行语句之间,可以用()来传送往来信息。①变量②变量和信号③信号④常量42、在VHDL中,PROCESS结构是由()语句组成的。①顺序②顺序和并行③并行④任何44、VHDL的块语句是并行语句结构,它的内部是由()语句构成的。①顺序和并行②顺序③并行④任意45、在VHDL中,条件信号赋值语句WHENELSE属于()语句。①顺序兼并行②顺序③并行④任意47、PONENT)语句中,有()符号实现名称映射,将例化元件端口声明语句中的信号名与PORTMAP()中的信号名关联起来。①=②:=③<=④=>49、VHDL的WORK库是用户设计的现行工作库,用于存放()的工程项目。①用户自己设计②公用程序③共享数据④图形文件三、应用题1、分析下面的VHDL源程序,说明设计电路的功能。LIBRARYIEEE;;;ENTITYLX3_1ISPORT(S2,S1,S0:INSTD_LOGIC;D3,D2,D1,D0:INSTD_LOGIC;D7,D6,D5,D4:INSTD_LOGIC;Y:OUTSTD_LOGIC);:..ARCHITECTUREONEOFLX3_1ISSIGNALS:STD_LOGIC_VECTOR(2DOWNTO0);BEGINS<=S2&S1&S0;Y<=D0WHENS=000”ELSED1WHENS=”001”ELSED2WHENS=”010”ELSED3WHENS=”011”ELSED4WHENS=”100”ELSED5WHENS=”101”ELSED6WHENS=”110”ELSED7;ENDONE;2VHDL源程序,说明设计电路的功能。LIBRARYIEEE;;;ENTITYLX3_2ISPORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);B;INSTD_LOGIC_VECTOR(3DOWNTO0);GT,LT,EQ:OUTSTD_LOGIC);ENDLX3_2;ARCHITECTUREONEOFLX3_2ISBEGINPROCESS(A,B)BEGINGT<=’0’;LT<=’0’;EQ<=’0’;IFA>BTHENGT,=’1’;ELSIFA<BTHENLT<=’1’;ELSEEQ<=’1’;ENDIF;:..ENDONE;3VHDL源程序,说明设计电路的功能。LIBRARYIEEE;;ENTITYLX3_3ISPORT(ABIN:INSTD_LOGIC_VECTOR(7DOWNTO0);DIN:INSTD_LOGIC_VECTOR(7DOWNTO0);DOUT:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDLX3_3;ARCHITECTUREONEOFLX3_3ISBEGINPROCESS(ABIN,DIN)BEGINFORIIN0TO7LOOPDOUT(I)<=DIN(I)ANDABIN(I);ENDLOOP;ENDPROCESS;ENDONE;4、分析下面的VHDL源程序,说明设计电路的功能。LIBRARYIEEE;;;ENTITYLX3_4ISPORT(CLK:INSTD_LOGIC;J,K:INSTD_LOGIC;Q,QN:OUTSTD_LOGIC);ENDLX3_4;ARCHITECTUREONEOFLX3_4;SIGNALQ_TEMP:STD_LOGIC:=0’;SIGNALJK:STD_LOGIC_VECTOR(1DOWNTO0);BEGINJK<=J&K;:..BEGINIFCLKEVENTANDXLK=’0’THENCASEJKISWHEN“00”=>Q_TEMP<=Q_TEMP;WHEN“01”=>Q_TEMP<=’0’;WHEN“10”=>Q_TEMP<=’1’;WHENOTHERS=>Q_TEMP<=NOTQ_TEMP;ENDCASE;ENDIF;Q<=Q_TEMP;QN<=NOTQ_TEMP;ENDPROCESS;ENDONE;一、填空题1、标准化程度最高2、IEEE#10763、程序,文档4、设计实体5、独立存在,独立运行6、库、程序包、实体、结构体、配置7、实体,结构体8、IEEESTD1076-1987(即VHDL‘87)9、IEEESTD1076-1993(即VHDL‘93)10、预先定义11、IEEE,STD_LOGIC_116412、实体声明,结构体13、输入/输出端口,引脚14、逻辑结构、逻辑功能15、IN(输入),OUT(输出),INOUT(双向),BUFFER(具有读功能的输出)16、整数文字,实数文字,以数制基数表示的文字,物理量文字:..18、字母开头、下划线19、变量、常量、信号20、局部量21、当前值、历史值22、恒定不变,程序前部23、标量型、复合型、存取类型、文件类型24、实数类型、整数类型、枚举类型、时间类型25、九26、逻辑操作符、关系操作符、算术操作符、符号操作符27、属性标识符28、顺序语句、并行语句29、进程、过程、函数30、并行运行31、信号32、顺序语句、并行语句33、信号34、过程、函数35、程序包36、函数首、函数体37、元件,端口38、根目录39、.VHD40、文本输入设计法二、选择题1、①2、④3、③4、④5、①6、④7、②8、①9、②10、③11、④12、④13、①14、③15、②16、④17、①18、②19、③20、①21、④22、④23、①24、③25、④26、③27、①28、②29、④30、①31、②32、①33、③34、②35、④36、③37、②38、②39、①40、:..41、③42、①43、①44、③45、③46、③47、④48、②49、①50、④三、应用题1、解:该VHDL源程序设计的是8选1数据选择器。2、解:该VHDL源程序设计4位二进制数据比较器电路。3、解:该VHDL源程序设计二输入端的8与门电路。4、解:该VHDL源程序设计下降沿触发的JK触发器电路。第四章可编程逻辑器件一、填空题1、数字系统中常用的LSI(大规模集成电路)可分为_________、____________和___________三种类型。2、可编程逻辑器件PLD属于____________电路。3、可编程ROM是始于1970年出现的第一块_________________。4、利用EDA工具,设计者只需用_____________来完成对系统功能的描述,然后由计算机软件自动完成设计处理,得到PLD设计结果。5、集成度是集成电路一项很重要的指标,可编程逻辑器件按集成密度可分为_____________和__________________两类。9、可编程逻辑器件的编程方式分为_____________和______________两类。10、根据各种可编程器件的结构及编程方式,可编程逻辑器件通常又可以分为__________、___________、______________和______________等四类。11、基于EPROM、EEPROM和快闪存储器件的可编程器件,在系统断电后编程信息___________。12、采用SRAM结构的可编程器件,在系统断电后编程信息___________。13、按结构分,PLD分为____________和__________________两类。14、阵列型PLD基本结构由____________和________________组成。15、现场可编程门阵列型FPGA具有门阵列的结构形式,它由许多可编程单元排成阵列组成,称为___________。16、PLD的基本结构通常采用点阵表示,一般在线段的交叉处加_________表示固定连接,加_________表示可编程连接。:..PROM的与阵列____________,或阵列_____________。18、可编程逻辑阵列PLA的与阵列____________,或阵列_____________。19、可编程阵列逻辑PAL的与阵列______