1 / 14
文档名称:

VHDL数字秒表.doc

格式:doc   大小:2,963KB   页数:14页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL数字秒表.doc

上传人:儒林 2024/5/10 文件大小:2.89 MB

下载得到文件列表

VHDL数字秒表.doc

相关文档

文档介绍

文档介绍:该【VHDL数字秒表 】是由【儒林】上传分享,文档一共【14】页,该文档可以免费在线阅读,需要了解更多关于【VHDL数字秒表 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。VHDL数字秒表数字秒表一设计任务设计用于体育比赛的数字秒表,要求:,计时器能显示1/1000秒的时间,提供给计时器内部定时器的时钟频率为10MHz;计时器的最长计时时间为1小时,为此需要一个7位的显示器,。。(1)复位开关用来使计时器清零,并做好计时准备。(2)起/停开关的使用方法与传统的机械式计时器相同,即按一下起/停开关,启动计时器开始计时,再按一下起/停开关时终止。(3)复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时器进程立即终止,并对计时器清零。二方案选择与设计方案选择利用VHDL语言进行数字秒表设计有多种方法。可以利用原件例化语句将各模块联系起状态信号(计数的使能信号EN),两片的CLK端同时接计数输入信号。具体思路:通过分频器将10M晶振所提供的信号进行10000分频,生成脉冲作为计时信号,经计数器累加计数实现数字秒表计数的功能。设计采用七位LED数码管显示分、秒,需要5个10计数器和2个6计数器。使用按键开关可实现开始/结束计时操作以及复位清零操作。设计整个系统设计是采用自顶向下分析,自底向上设计。将数字秒表系统的整体分解为各个模块电路。,要对内部分各功能块的连接关系和对外的接口关系进行描述,而功能块实际的逻辑功能和具体的实现形式则由下一层模块来描述。数字秒表原理图顶层电路图根据数字秒表的原理图来进行顶层文件的设计。此次设计中使用了总线,从而简化了顶层电路图的绘制。2时钟分频电路模块在基于EDA技术的数字电路系统设计中,分频电路应用十分广泛。常常用分频电路来得到数字系统中各种不同频率的控制信号。所谓分频电路,就是将一个给定的频率较高的数字输入信号经过适当处理后,产生一个或数个频率较低的数字输出信号。-,首先输入一个频率为10MHZ时钟信号源,由CLK输入,经其进行10000分频后获得一个比较精确的1000Hz计时脉冲,即周期为1/1000秒的计时脉冲,T输出。分频器符号3十进制计数控制模块计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。此次设计中为程序方便没有将按键控制功能单独设为一个模块,而是将其添加到了普通十进制计数器程序中,将两者综合生成十进制计数控制模块。十进制计数控制模块符号CLK为时钟信号输入端、RST为复位信号输入端、EN为使能控制信号输入端、DOUT[3..0]为十进制计数数据输出端、COUT为进位信号输出端。4六进制计数控制模块六进制计数器与十进制计数器类似,同样此处为程序方便没有将按键控制功能单独设为一个模块,而是将其添加到了普通六进制计数器程序中,将两者综合生成六进制计数控制模块。六进制计数控制模块符号CLK为时钟信号输入端、RST为复位信号输入端、EN为使能控制信号输入端、DOUT[3..0]为六进制计数数据输出端、COUT为进位信号输出端。;;entityCLKGENisport(clki:instd_logic;clko:outstd_logic);endCLKGEN;architecturebehavofCLKGENissignalq:integerrange0to9999;beginprocess(clki,q)beginifclki'eventandclki='1'thenq<=q+1;endif;ifq=1thenclko<='0';elseclko<='1';endif;endprocess;endbehav;;;;T6IS PORT(CLK,RST,EN:INSTD_LOGIC; DOUT:OUTSTD_LOGIC_VECTOR(3DOWNTO0); COUT:OUTSTD_LOGIC);T6;T6IS

最近更新

2024年内蒙古赤峰市选调生考试(公共基础知识.. 147页

2024年内蒙古通辽市科尔沁区事业单位卫生健康.. 175页

2024年内蒙古锡林郭勒事业单位招370人历年高频.. 176页

2024年内蒙古锡林郭勒苏尼特左旗乌兰牧骑招聘.. 178页

2024年北京丰台区长辛店街道招聘社区党务专职.. 175页

2024年南京交通职业技术学院单招职业适应性测.. 59页

脑卒中症状与急救医疗保健早期识别快速护理 23页

2024年吉林省白城市行政职业能力测验题库a4版.. 146页

砂石厂调研报告样本 7页

购物中心开业活动推广规划细则 6页

2024年安徽省六安市行政职业能力测验题库含答.. 150页

2024年安徽省芜湖市行政职业能力测验题库(网.. 149页

2024年安徽省马鞍山市行政职业能力测验题库附.. 146页

2024年山东劳动职业技术学院单招职业适应性测.. 58页

2024年山东省泰安市行政职业能力测验题库(突.. 148页

2024年广东食品药品职业学院单招职业适应性测.. 58页

2024年广西百色市事业单位招聘10人历年高频难.. 90页

2024年广西百色市田阳县乡镇事业单位招聘26人.. 88页

2024年广西省南宁市经开区吴圩镇招聘9人历年高.. 89页

2024年广西省贺州市钟山县财政局招聘5人历年高.. 88页

分部工程强制性条文执行情况检查表(填写样板).. 6页

湖北省财政厅省发展改革委关于印发《湖北省节.. 9页

药学专业调研报告 27页

学校个人岗位廉政风险点排查和防控措施汇总表.. 7页

排球教案:《排球正面双手传球》教案 2页

核心力量与体能训练教学设计 7页

危险源分析及控制表 7页

2014年浙江省高中数学竞赛获奖名单 80页

保健食品功效成分检测方法(2002) 王光亚 237页

基于单片机火灾报警器设计 38页