1 / 21
文档名称:

电子-半导体设备系列:薄膜生长设备,国产突破可期.pdf

格式:pdf   大小:3,581KB   页数:21页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

电子-半导体设备系列:薄膜生长设备,国产突破可期.pdf

上传人:1781111**** 2024/5/11 文件大小:3.50 MB

下载得到文件列表

电子-半导体设备系列:薄膜生长设备,国产突破可期.pdf

相关文档

文档介绍

文档介绍:该【电子-半导体设备系列:薄膜生长设备,国产突破可期 】是由【1781111****】上传分享,文档一共【21】页,该文档可以免费在线阅读,需要了解更多关于【电子-半导体设备系列:薄膜生长设备,国产突破可期 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。:..证券研究报告|行业深度2021年06月29日电子半导体设备系列:薄膜生长设备,国产突破可期薄膜生长是采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质增持(维持)包括金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD)、化学气相沉积(CVD)和外延等类别。大部分绝缘薄膜使用CVD,金属薄膜常用PVD(主要是溅射)。CVD的使用越来越广泛,基于CVD行业走势发展的ALD更是行业升级的技术方向。2020年全球薄膜设备市场达到138亿美元,占IC制造设备21%;其中主要是电子沪深300CVD和PVD,合计占IC制造设备18%。其中,CVD市场规模高度89亿美元,48%主流是设备包括PECVD、TubeCVD、LPCVD和ALD等。整个薄膜市场市占率最高的是AMAT。高端领域如ALD受ASM、TEL和Lam等海外龙头主导。国内布局IC32%制造领域薄膜设备的主要国产厂商包括北方华创和沈阳拓荆。16%CVD市场主要由海外龙头主导,国内北方华创、沈阳拓荆积极布局。根据Gartner数据,全球CVD市场前五大供应商包括AMAT(28%)、LamResearch(25%)、0%TEL(17%)、Kokusai(原日立高新,8%)、ASM(11%)。国内半导体设备龙头2020-062020-102021-022021-06北方华创、沈阳拓荆在该领域也有布局。CVD市场主要由海外龙头主导,国内北方华创、沈阳拓荆积极布局从PVD市场格局来看,AMAT一家独大,长期占据约80%的市占率,2020年北方华创的半导体PVD设备全球市占率为3%,属于国内领先地作者位。分析师郑震湘国内薄膜厂商加速导入,国产化率仍有较大提升空间。根据招标网的数据统计,长执业证书编号:S0680518120002江存储在2019~2020年采购薄膜类设备约每年200多台(主要是CVD和PVD),邮箱:***********************主要类别以CVD为主,其中原子层沉积70~80台。从国产替代率而言,溅镀(PVD分析师佘凌星类)北方华创供应数量比重较高,合计达到将近20%;CVD类国产替代率较低,主执业证书编号:S0680520010001要国产供应商沈阳拓荆供应占比约2~3%。北方华创、沈阳拓荆在华虹无锡、华力邮箱:********************集成项目合计国产化率约10~15%。分析师陈永亮北方华创引领国产高端集成电路PVD薄膜工艺,公司多项产品进入国际供应链体执业证书编号:S0680520080002系。公司PVD产品布局广泛,近几年陆续推出了TiNPVD、AINPVD、AlPad、ALD邮箱:**********************等13款自主研发的PVD产品并成功产业化。公司自主设计和生产的exiTinH630TiN相关研究金属硬掩膜PVD系统是国内首台专门针对55-28nm制程12寸金属硬掩膜设备。2020年,公司CuBSPVD在客户招投标中获得重复订单。北方华创先后推出THEORIS3021、《电子:国产化+景气度,两条主线!》2021-06-27LPCVD、HORISL6371多功能LPCVD等多个系列产品。2、《电子:光学五月月度数据跟踪:手机短期波动,车沈阳拓荆拥有三个完整系列CVD产品线,累计出货量超过100台套。拓荆科技成载、VR依旧高景气》2021-06-22立于2010年4月,多次承担国家专项,公司拥有12英寸PECVD(等离子体化学气3、《电子:鸿蒙OS加速AIoT生态繁荣,半导体景气相沉积设备)、ALD(原子层薄膜沉积设备)、SACVD(次常压化学气相沉积设备)三个完整系列产品2020年公司累计出货量超过100台套。度持续超预期》2021-06-21风险提示:国产替代进展不及预期、全球贸易纷争影响、下游需求不确定性。:..202106月29日内容目录一、薄膜设备:用于沉积物质,实现晶圆表面薄膜生长.............................................................................................3二、薄膜市场以CVD、PVD为主,国内需求空间较大................................................................................................6三、国产订单导入,北方华创、沈阳拓荆逐步放量..................................................................................................10四、全球龙头AMAT,产品组合丰富,装机存量优势大............................................................................................13五、国内龙头:北方华创引领国产沉积,沈阳拓荆开拓PECVD................................................................................16六、风险提示.......................................................................................................................................................20图表目录图表1:薄膜设备分类.............................................................................................................................................3图表2:典型CVD工艺流程.....................................................................................................................................4图表3:ALD示例...................................................................................................................................................4图表4:常用的三种CVD技术比较...........................................................................................................................4图表5:常见的薄膜材料制备工艺............................................................................................................................5图表6:CVD和PVD技术比较.................................................................................................................................5图表7:CVD和PVD技术比较示意图.......................................................................................................................6图表8:全球半导体设备季度销售额(亿美元)........................................................................................................6图表9:全球半导体设备分地域季度销售额(亿美元)..............................................................................................7图表10:半导体设备市场增速周期性.......................................................................................................................7图表11:全球沉积设备市场趋势(百万美元).........................................................................................................8图表12:2020年沉积设备市场结构(百万美元).....................................................................................................8图表13:PVD及CVD在全球设备市场合计市占率.....................................................................................................9图表14:CVD市场份额..........................................................................................................................................9图表15:PVD市场份额........................................................................................................................................10图表16:中国大陆刻蚀市场需求测算(亿元).......................................................................................................10图表17:长江存储主要薄膜设备采购(台;不含无法分类的沉积设备)...................................................................11图表18:长江存储ALD采购数量(台)................................................................................................................11图表19:长江存储中标北方华创薄膜设备数量(台).............................................................................................11图表20:长江存储中标沈阳拓荆薄膜设备数量(台).............................................................................................11图表21:长江存储中标AMATCVD薄膜设备数量(台).........................................................................................12图表22:长江存储中标薄膜设备国产化率(以机台数量计算)................................................................................12图表23:华虹无锡中标薄膜设备国产化率(以机台数量计算)................................................................................13图表24:华力集成中标薄膜设备国产化率(以机台数量计算)................................................................................13图表25:AMAT收入结构(百万美元)..................................................................................................................14图表26:应用材料的(半导体装备)服务收入90%是需要重复发生的“回头客”........................................................14图表27:应用材料的(半导体装备)服务收入全球最高..........................................................................................15图表28:应用材料对于未来四年的半导体装备业务收入展望...................................................................................15图表29:应用材料产品线和解决方案组合..............................................................................................................16图表30:北方华创PVD机台eVictorAX30AlpadPVD............................................................................................18图表31:北方华创LPCVD机台THEORISSN302D..................................................................................................18图表32:沈阳拓荆12英寸PECVD设备PF-300T....................................................................................................19图表33:沈阳拓荆12英寸ALD设备FT-300T........................................................................................................19图表34:中微公司战略规划..................................................................................................................................19请仔细阅读本报告末页声明:..202106月29日一、薄膜设备:用于沉积物质,实现晶圆表面薄膜生长薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包括金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD)、化学气相沉积(CVD)和外延等类别。PVD和CVD是主要的薄膜设备,ALD是产业技术发展趋势。在半导体领域,薄膜主要分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用CVD,金属薄膜常用PVD(主要是溅射)。其他常用的镀膜方式包括ECD、SOD、MOCVD、Epitaxy等。在薄膜设备整体中,CVD的使用越来越广泛,基于CVD发展的ALD更是行业升级的技术方向。图表1:薄膜设备分类资料来源:gartner、国盛证券研究所CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。典型的CVD流程包括气体输入、气体对流、气象扩散、表面吸附、表面反应、表面脱附及薄膜成核生长。(1)微米时代,化学气相沉积多采用常压化学气相沉积(APCVD)设备,结构简单。(2)亚微米时代,低压化学气相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆盖填充能力。(3)90nm以后,等离子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用下,降低反应温度,提升薄膜纯度,加强薄膜密度。(4)45nm以后,高介电材料(Highk)和金属栅(MetalGate),引入原子层沉积(ALD)设备,膜层达到纳米级别。——(a)高介电材料(Highk)替代SiO2,用于制备MOS器件的栅介质层,需要引入ALD。(b)多晶硅同步地被替代为金属栅(MatalGate)电极,也用ALD设备制备。请仔细阅读本报告末页声明:..202106月29日图表2:典型CVD工艺流程图表3:ALD示例资料来源:集微网、国盛证券研究所资料来源:集微网、国盛证券研究所图表4:常用的三种CVD技术比较资料来源:维基百科、国盛证券研究所请仔细阅读本报告末页声明:..202106月29日图表5:常见的薄膜材料制备工艺资料来源:gartner、国盛证券研究所物理气相沉积(PVD):利用蒸发或溅射,实现原子从源物质到沉底材料表面的物质转移,沉积形成薄膜。物理气相沉积是一种物理气相反应生长法,沉积过程是在真空或低压气体放电条件下,涂层物质源是固态物质,经过“蒸发或溅射”后,在零件表面生成与基材性能完全不同的新的固态物质涂层。PVD具有成膜速率高、镀膜厚度及均匀性可控好、薄膜致密性好、粘结力强及纯净度高等优点。PVD可以分为真空蒸镀(VacuumEvaporator)和溅射(Sputtering)。PVD发展初期以真空蒸镀镀膜为主,特点是工艺简单、操作容易、纯度较高,缺点是难以蒸发某些金属和氧化物。由于溅射设备制备的薄膜更加均匀、致密,对衬底附着性强,纯度更高,溅射设备取代了蒸镀设备。图表6:CVD和PVD技术比较资料来源:gartner、国盛证券研究所请仔细阅读本报告末页声明:..202106月29日图表7:CVD和PVD技术比较示意图资料来源:维基百科、国盛证券研究所二、薄膜市场以CVD、PVD为主,国内需求空间较大2020年全球半导体设备市场规模创700亿美元新高,大陆首次占比全球第一。根据SEMI,2020年半导体设备销售额712亿美元,同比增长19%,全年销售额创历史新高。大陆设备市场在2013年之前占全球比重为10%以内,2014~2017年提升至10~20%,2018年之后保持在20%以上,份额呈逐年上行趋势。2020年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,达到181亿美元,%,%。2021~2022年,存储需求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。图表8:全球半导体设备季度销售额(亿美元)全球半导体设备销售额大陆占比全球半导体设备季度销售额yoy25070%60%20050%40%15030%20%10010%0%50-10%-20%0-30%2016-032016-092017-032017-092018-032018-092019-032019-092020-032020-09资料来源:wind,国盛证券研究所请仔细阅读本报告末页声明:..202106月29日图表9:全球半导体设备分地域季度销售额(亿美元)其他地区中国大陆中国台湾韩国欧洲北美日本2502001501005002016-032016-092017-032017-092018-032018-092019-032019-092020-032020-09资料来源:SEMI,国盛证券研究所半导体设备行业产值具有高增长、高波动性。半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。根据SEMI数据,从长周期而言半导体行业复合增速约10%,半导体设备行业复合增速约13%,半导体设备行业增长弹性高于半导体行业。图表10:半导体设备市场增速周期性100%88%85%76%80%59%60%52%45%41%36%40%23%23%25%19%20%19%20%14%14%11%5%8%7%4%3%1%0%8485868788899091929394959697989900102030405060708091011121314151617181920-1%-2%-20%-10%-6%-11%-12%-18%-24%-25%-40%-29%-30%-37%-40%-60%资料来源:SEMI,国盛证券研究所2020年全球薄膜设备市场达到138亿美元,占IC制造设备21%;其中主要是CVD和PVD,合计占IC制造设备18%。其中,CVD市场规模高度89亿美元,主流是设备包括PECVD、TubeCVD、LPCVD和ALD等。整个薄膜市场市占率最高的是AMAT。高端领域如ALD受ASM、TEL和Lam等海外龙头主导。国内布局IC制造领域薄膜设备的主要国产厂商包括北方华创和沈阳拓荆。请仔细阅读本报告末页声明:..202106月29日图表11:全球沉积设备市场趋势(百万美元)资料来源:gartner、国盛证券研究所图表12:2020年沉积设备市场结构(百万美元)资料来源:gartner、国盛证券研究所请仔细阅读本报告末页声明:..202106月29日图表13:PVD及CVD在全球设备市场合计市占率资料来源:semi、国盛证券研究所CVD市场主要由海外龙头主导,国内北方华创、沈阳拓荆积极布局。根据Gartner数据,全球CVD市场前五大供应商包括AMAT(28%)、LamResearch(25%)、TEL(17%)、Kokusai(原日立高新,8%)、ASM(11%)。国内半导体设备龙头北方华创、沈阳拓荆在该领域也有布局。图表14:CVD市场份额资料来源:gartner、国盛证券研究所从PVD市场格局来看,AMAT一家独大,长期占据约80%的市占率。PVD市场主要供应商包括AMAT、ULVAC、Evatec、KLA、TEL、北方华创等。根据Gartner,2020年北方华创的半导体PVD设备全球市占率为3%,属于国内领先地位。随着国产替代加速,北方华创PVD业务有望加速成长。请仔细阅读本报告末页声明:..202106月29日图表15:PVD市场份额资料来源:gartner、国盛证券研究所我们以国内晶圆投资资本开支需求作为总数,以PVD、CVD等薄膜类设备在全球设备市场中的比例作为参考,估算国内CVD及PVD近几年的市场空间。根据我们的估算,中国大陆CVD和PVD合计市场需求预计在200亿元以上,国产化率在10%以内,仍具有较大的替代空间。图表16:中国大陆刻蚀市场需求测算(亿元)资料来源:国盛电子测算、国盛证券研究所三、国产订单导入,北方华创、沈阳拓荆逐步放量根据招标网的数据统计,长江存储在2019~2020年采购薄膜类设备约每年200多台(主要是CVD和PVD),主要类别以CVD为主,其中原子层沉积70~80台。从国产替代率而言,溅镀(PVD类)北方华创供应数量比重较高,合计达到将近20%;CVD类国产替代率较低,主要国产供应商沈阳拓荆供应占比约2~3%。请仔细阅读本报告末页声明:..202106月29日图表17:长江存储主要薄膜设备采购(台;不含无法分类的沉积设备)图表18:长江存储ALD采购数量(台)资料来源:中国招标网、国盛证券研究所资料来源:中国招标网、国盛证券研究所截止2021/06,北方华创一共中标长江存储共4台铝垫物理气相沉积机台和3台钽阻挡层铜种籽层物理气相沉积机台;沈阳拓荆2台氮氧化硅薄膜、3台氧化硅薄膜、3台二氧化硅薄膜,共8台PECVD类设备。截止2021/06,AMAT中标CVD类设备约85台,PVD类设备约20台,其他未分类20~30台。图表19:长江存储中标北方华创薄膜设备数量(台)图表20:长江存储中标沈阳拓荆薄膜设备数量(台)资料来源:中国招标网、国盛证券研究所资料来源:中国招标网、国盛证券研究所请仔细阅读本报告末页声明:..202106月29日图表21:长江存储中标AMATCVD薄膜设备数量(台)资料来源:中国招标网、国盛证券研究所图表22:长江存储中标薄膜设备国产化率(以机台数量计算)资料来源:中国采招网、国盛证券研究所我们以截止2021/06的华虹无锡、华力集成的公开招投标数据进行分析。华虹无锡项目累积中标薄膜机台100多台,其中国产设备13台(北方华创5台钛、氮化钛、氮化钽和铝铜类的PVD,沈阳拓荆8台PECVD);华力集成项目累积中标薄膜机台约90多台,其中国产设备6台(北方华创2台溅射类PVD设备、沈阳拓荆4台PECVD)。请仔细阅读本报告末页声明:..202106月29日图表23:华虹无锡中标薄膜设备国产化率(以机台数量计算)资料来源:中国采招网、国盛证券研究所图表24:华力集成中标薄膜设备国产化率(以机台数量计算)资料来源:中国采招网、国盛证券研究所四、全球龙头AMAT,产品组合丰富,装机存量优势大AMAT是全球收入最大的半导体装备企业,全球市占率高、产品线长。应用材料(AMAT)成立于1967年,1972年上市,1992年成为全球最大的半导体设备制造商。根据Semi和Gartner资料,公司是全球收入规模最大的半导体设备企业,产品线较长,其中在PVD领域全球市占率第一(80%+)、CVD领域全球市占率第一(28%)、刻蚀领域全球市占率第三、离子注入领域全球第一、CMP领域全球第一。全球半导体工艺装备龙头企业,2021Q1单季度表现创新高。2020年,AMAT的营业收入172亿美元,毛利额77亿美元,营业利润44亿美元,净利润36亿美元。此外,公司研发费用22亿美元,研发费用率约13%。2020年,公司半导体装备业务收入114亿美元,服务收入42亿美元,显示及其他装备收入16亿美元。2020年,%。2021Q1,公司营业收入56亿美元,同比增长41%;净利润13亿美元,同比请仔细阅读本报告末页声明:..202106月29日增长76%,单季度收入、利润均创历史新高。图表25:AMAT收入结构(百万美元)资料来源:彭博、国盛证券研究所装机存量贡献的服务收入具有明显规模优势。作为全球半导体设备龙头,服务(安装、维修、保养等)收入占比达到24%,装机量存量贡献显著。根据公司公告,目前装机量超过4万台机台,(subscription),。图表26:应用材料