1 / 14
文档名称:

北邮-数电实验报告.doc

格式:doc   大小:2,154KB   页数:14页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

北邮-数电实验报告.doc

上传人:文艺人生 2022/6/4 文件大小:2.10 MB

下载得到文件列表

北邮-数电实验报告.doc

文档介绍

文档介绍:北邮-数电实验报告
数字电路实验报告
学院:信息与通信工程
专业:信息工程
班级:2013211125
学号:2013210681
end count10;
architecture struct of count10 is
signal q_temp:std_logic_vector(3 downto 0);
begin
process(clk)
begin
if (clk'event and clk='1') then
if clear='1' then
q_temp<="0000";
elsif q_temp="1001" then
q_temp<="0000";
else
q_temp<=q_temp+1;
end if;
end if;
end process;
q<=q_temp;
end;
模块三:seg7_1是数码管译码器,将计数器的4位输出接为其输入信号,即可在输出端得到对应的十进制数的数码管信号。
library ieee;
use ;
entity seg7_1 is
port(
a:in std_logic_vector(3 downto 0);
b:out std_logic_vector(6 downto 0)
);
end seg7_1;
architecture arch of seg7_1 is
begin
process(a)
begin
case a is
when "0000"=>b<="1111110";
when "0001"=>b<="0110000";
when "0010"=>b<="1101101";
when "0011"=>b<="1111001";
when "0100"=>b<="0110011";
when "0101"=>b<="1011011";
when "0110"=>b<="1011111";
when "0111"=>b<="1110000";
when "1000"=>b<="1111111";
when "1001"=>b<="1111011";
when others=>b<="0000000";
end case;
end process;
end;
连接图如下:
②:仿真波形图
③:波形图分析
由仿真波形图可以看出,输入的时钟信号经过分频器后产生了周期为输入12倍的时钟信号,然后进行了上升沿有效的从0~9的10进制计数,每个计数数字都对应一个7位输出来控制数码管。同时此电路还具有同步高电平复位的功能。
③故障及问题分析
一开始发现仿真之后计数器输出和数码管输出都全是0,仔细检测后发现是因为没有使用总线进行连接,使用总线后解决了问题。
实验四:用VHDL设计与实现相关电路
一:实验要求
①:用VHDL实现6个数码管的串行扫描,让6个数码管显示不同的数字,仿真并验证功能,并下载到实验板测试。
②:实现数码管的滚动显示(选做)
二:报告内容
①:实验四模块端口说明
每个数码管对应不同的数字显示
每个数字对应一个6位输出来控制不同数码管
0~5计数
②:VHDL代码
library ieee;
use ;
use ;
entity shumaguan is
port (
clk:in std_logic;
control_out:out std_logic_vector(5 downto 0); //管脚控制
seg:out std_logic_vector(6 downto 0) // 数码管显示
);
end shumaguan;
architecture a of shumaguan is
signal control:std_logic_

最近更新

整理空分车间安全技术操作规程 3页

搅拌机食谱(带图) 16页

2025年最新小学生感恩父母讲话稿范文 感恩父母.. 7页

2025年最新小学校园广播稿3篇 4页

护理组织管理体系及职责分工 6页

二零二五年度室内设计风格定制及售后服务协议.. 41页

二零二五年度宠物猫寄养与宠物行为训练合作协.. 35页

残疾动物福利关怀-洞察及研究 35页

护士三基考试试卷及答案 6页

二零二五年度定制酒文化传承合作协议3篇 38页

数字化认证认可服务的跨界融合研究-洞察及研究.. 35页

二零二五年度宅基地土地使用权转让及农村土地.. 44页

二零二五年度孩子上学期间安全保障与教育辅导.. 46页

2025年最新地球一小时倡议书优秀范文 8页

化学实验安全操作规程 7页

二零二五年度学校与户外研学企业深度合作框架.. 42页

二零二五年度婚姻财产分配与经济责任分担协议.. 68页

ATBC增塑剂项目设计 37页

江苏省决胜新高考2024届高三上学期10月大联考.. 12页

二零二五年度大型工程挖掘机租赁合同书——标.. 54页

二零二五年度外派至国际公司的项目管理服务合.. 47页

二零二五年度外墙保温施工劳务分包及进度管理.. 46页

二零二五年度塔吊司机承包合同(含设备维护条.. 39页

2024版企事业单位员工劳动合同范本 15页

JG-T302-2011:卷帘门窗 24页

申请公租房收入证明 16页

村党支部书记、村委会主任职责,风险点及防控.. 10页

主奴合约 3页

主祷文系列讲章 15页

BS期权定价模型-excel模板 30页