1 / 3
文档名称:

实验二 计数器.doc

格式:doc   大小:16KB   页数:3页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

实验二 计数器.doc

上传人:wz_198614 2017/10/8 文件大小:16 KB

下载得到文件列表

实验二 计数器.doc

文档介绍

文档介绍:实验二计数器
一、实验目的
1、设计一个带使能输入及同步清0的增1计数器,仿真波形图见图20-1,;
2、设计一个带使能输入及同步清0的增1/减1的8位计数器,仿真波形图见图20-2A和20-2B,实验源程序名是up-。
二、实验内容
图20-1 计数器2波形图
图20-2A 加减控制计数器波形图
在用VHDL语言描述一个计数器时,,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。
图20-2B 加减控制计数器波形图
如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且
要执行增1操作时,模拟器将指出此时有错误发生。
下面的例子是一个3位增1/减1计数器:当输入信号UP等于1 时计数器增1;当输入信号UP等于0时计数器减1。
Library ieee;
Use ;
Use ;
Entity up_down is
Port(clk,rst,en,up: in std_logic;
Sum: out std_logic_vector(2 downto 0);
Cout: out std_logic);
End;
Architecture a of up_down is
Signal count: std_logic_vector(2 downto 0);
Begin
Process(clk,rst)
Begin
If rst=’0’ then
Count<=(others=>’0’);
Elsif rising_edge(clk) then
If en=’1’ then
Case up is
When ‘1’=> count<=count+1;
When others =>count<=count-1;
End case;
End if;
End if;
End process;
Sum<=count;
Cout <=’1’ when en=’

最近更新

第一次月考滚动检测卷-重庆市大学城第一中学数.. 23页

月份护理质量控制汇报 57页

无源医疗器械 80页

新生儿室医院感染预防与控制 67页

第一次月考滚动检测卷-四川绵阳南山中学双语学.. 18页

第一次月考滚动检测卷-乌龙木齐第四中学数学七.. 20页

滚动提升练习重庆市江津田家炳中学数学七年级.. 20页

滚动提升练习福建泉州市永春第一中学数学七年.. 22页

滚动提升练习湖南临湘市第二中学数学七年级上.. 21页

滚动提升练习广东茂名市高州中学数学七年级上.. 20页

滚动提升练习四川遂宁市第二中学校数学七年级.. 21页

滚动提升练习四川广安友谊中学数学七年级上册.. 19页

托幼机构设施卫生保健基本要求 66页

河南开封市金明中学数学七年级上册整式的加减.. 20页

(完整版)遥感真题答案解析 25页

000739普洛药业2021年财务分析详细报告 37页

强化训练重庆市兴龙湖中学数学七年级上册整式.. 20页

慢性肾功能不全护理查房 37页

强化训练湖南长沙市铁路一中数学七年级上册整.. 22页

2022BIM工程师考试真题及答案7辑 17页

2022年“质量月”全面质量管理知识竞赛考试题.. 44页

2022年全国高考语文作文题目 6页

2022年广东医科大学基础医学专业《病理学》科.. 14页

急性链球菌感染后肾小球肾炎的诊断与治疗 35页

3D打印机耗材操作手册中英文 6页

85度C员工手册新版解析 31页

莲城街道办事处金凤小学 3页

强化训练安徽合肥市庐江县二中数学七年级上册.. 19页

强化训练四川遂宁市第二中学数学七年级上册整.. 21页

[考试复习题库精编合集]2021年价格鉴定师《法.. 31页