1 / 11
文档名称:

《EDA技术及应用课程设计》报告.doc

格式:doc   大小:268KB   页数:11页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

《EDA技术及应用课程设计》报告.doc

上传人:zl201163zl 2017/12/22 文件大小:268 KB

下载得到文件列表

《EDA技术及应用课程设计》报告.doc

相关文档

文档介绍

文档介绍:《EDA技术及应用课程设计》报告
系别:
专业班级:
学生姓名:
指导教师:
(课程设计时间:年月日——年月日)
。。。。。。。。。。。。。校
秒表是人们日常生活中常用的测时仪器,它能够简单的完成计时、清零等功能,从一年一度的校际运动会到NBA、世界杯、奥运会,都能看到秒表的身影。
系统设计要求
学****使用EDA集成设计软件MaxplusⅡ~1小时的数字秒表,能够精确反映计时时间,并完成复位、计时功能。秒表计时的最大范围为1小时,。秒表可得到计时时间的分、秒、,且各度量单位间可正确进位。
当复位清零有效时,秒表清零并做好计时准备。任何情况下,只要按下复位开关,秒表都要无条件的进行复位操作,即使在计时过程中也要无条件的清零。
了解全过程中VHDL程序的基本结构,掌握使用EDA工具设计数字系统的设计思路和设计方法。学****VHDL基本逻辑电路的综合设计应用。根据电路持点,用层次设计概念。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,同时加深层次化设计概念;考虑软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何熔合等问题。
系统设计方案
根据上述设计要求,可以预先设计若干个不同进制的计数器单元模块,然后将其进行例化组合来得到数字秒表系统。
要满足数字秒表的精度,首先要获得精确的计时基准信号,,。
1/100秒、秒、分等计时单位之间的进位转换可以通过不同进制的计数器实现,我们分别设计十进制计数器和六进制计数器,每位计数器均能输出相应计时单位计数结果,其中,、、1秒和1分为单位的计数,六进制计数器可以实现以10秒、10分为单位的计数,把各级计数器级联,即可同时显示百分秒、秒和分钟。
停止和启动功能可以通过计时使能信号完成。信号有效时正常计时,否则没有脉冲输入到计数器,从而停止计时。因为一旦按下复位清零开关数字秒表就无条件清零,因此其优先级必须高于计时使能信号。
各模块VHDL源程序
3MHz→100Hz分频器的源程序
library ieee;
use ;
use ;
entity fenpin is
port(clk:in std_logic;
clk_out:out std_logic);
end;
architecture art of fenpin is
signal count:integer range 0 to 29999;
signal clk_data:std_logic;
begin
process(clk,count)
begin
if clk'event and clk='1' then
if count=29999 then
count<=0;
clk_data<=not clk_data;
else count<=count+1;
end if;
end if;
clk_out<=clk_data;
end process;
end art;
此处用到了分频比为30000的分频器,用来将3MHz的脉冲分成100Hz,也就是当clk经过30000个脉冲的时候,clk_out才会出现1个100Hz的计数脉冲其仿真时间较长,且此种情况下clk给定波形已无法看清,不易获得获得clk_out的完整清晰波形。此处采取等比缩放替代的方式来对上述分频比为30000的分频器源程序进行波形仿真验证,分频比等比缩小为30后的仿真波形如下图1所示。
图1

library ieee;
use ;
use ;
t6 is
port(clk: in std_logic;
q: out std_logic_vector(2 downto 0));
t6;
architecture behv t6 is
signal temp: std_logic_vector(2 downto 0);
begin
process(clk)
begin
if clk'event and clk ='1' then
if temp="101" then
temp<="000";
else
temp<=temp+1;
end if;
end i

最近更新

毕业生代表致辞全英文模板5篇 9页

《工程师与创新创造》 12页

2024年体检中心项目资金筹措计划书代可行性研.. 56页

2024年输送机械项目投资申请报告代可行性研究.. 69页

2024年热值分析产品项目投资申请报告代可行性.. 60页

2024年防爆灯具项目合作计划书 56页

2024年铲土运输机械项目建议书 55页

高中化学选修5第二章第一节脂肪烃 24页

白蛋白变异与遗传疾病的联系 24页

生物高考题分类汇编:必修1专题3细胞器的结构.. 30页

《就业准备》课件 29页

高中英语阅读教学中情感目标的设定与达成 2页

《平板仪与ADF仪》课件 23页

科学上册水滴里的生物2苏教 15页

高中生物《胡萝卜素的提取》说 10页

部编版一年级语文下册专项训练——字词【含答.. 5页

邯郸市小升初数学测试卷有答案 7页

轻叩诗歌大门400字优秀作文四年级 12页

崇拜的人4篇 5页

低维材料的物理性质与应用 26页

自我陈述报告初中生综合素质评价 2页

信用证结算协议书 12页

抗负过载双室供油装置与方法 5页

小学数学一年级下册《找规律》说课稿 8页

混凝土护坡施工方案 4页

以旧换新备案申请书[5篇范例] 2页

《WindowsServer2012网络操作系统项目教程(第.. 27页

城镇土地估价规程新 57页

《教育法规教程》第6章预防未成年人犯罪 48页

《GJB 1362A-2016 军工产品定型程序和要求》... 20页