1 / 34
文档名称:

基于CPLD多功能数字钟的设计实训报告.doc

格式:doc   页数:34页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

基于CPLD多功能数字钟的设计实训报告.doc

上传人:小猪猪 2012/5/18 文件大小:0 KB

下载得到文件列表

基于CPLD多功能数字钟的设计实训报告.doc

文档介绍

文档介绍:《电子产品设计与制作综合实训》
基于CPLD多功能数字钟的设计
姓名:
学号:
组号:
班级:
指导教师:

提交日期: 年月
概  要
本次实训通过自己的动手与老师的指导让我们让我们能够熟练掌握EDA软件(Max+plusII等)的使用,明白CPLD/FPGA的一般开发流程。能够完成电子产品设计、焊接、调试、故障排除到整机装配整个过程,能安装调试印制电路板;能够熟练使用常用测试仪器,如万用表、示波器和稳压电源;能够完成输入输出电路的设计,并能设计印制电路板,掌握基本的电路设计与制作方法和技巧,能够独立分析和解决一般性质的问题;以及部分元器件的封装,芯片EPM7128的引脚分布以及引脚功能、芯片的应用、工作原理、典型电路。掌握常见故障的处理方案与维修的基本技巧;掌握焊接、调试、故障排除到整机装配整个过程;能按照IPC工艺安装调试印制电路板。通过本次实****又一次加强了我们理论联系实际的能力,提高了我们的动手操作能力;通过每次的实****也进一步培养了我们沟通交流、团结协作和刻苦耐劳的精神。
前言
我们已经进入了数字化和信息化的时代,其特点是各种数字产品的广泛应用。现代数字产品在性能提高、复杂度增大的同时,其更新换代的步伐也越来越快,实现这种进步的因素在于生产制造技术和电子设计技术的进步。
PLD器件和EDA技术的出现,改变了这种传统的设计思路,使人们可以立足于PLD芯片来实现各种不同的功能,新的设计方法能够由设计者自己定义器件内部逻辑和管脚,将原来由电路板设计完成的工作大部分放在芯片的设计中进行。这样不仅可以通过芯片设计实现各种逻辑功能,而且由于管脚定义的灵活性,减轻了原理图和印制板设计的工作量和难度,增加了设计的自由度,提高了效率。同时这种设计减少了所需芯片的种类和数量,缩小了体积,降低了功耗,提高了系统的可靠性。
我们通过几个星期的实训,对芯片EPM7128的引脚分布以及引脚功能、芯片的应用、工作原理、典型电路有一定的了解;实****使我们掌握基本电路的设计与制作方法和技巧,能够独立的分析解决一般性质的问题,在设计与制作过程中能够从经济性和环保性以及成品质量等方面去考虑,在设计与制作中能大胆的实践,开拓创新,能够将自己的想法体现到实际电路当中去;又培养了我与其他同学的团队合作、共同探讨、共同前进的精神。
目  录
概述.....................................................2
前言.....................................................3
一、项目设计................................................6
项目设计要.................. .......................6
方案论述............................................6
. 设计方式...........................................7
实验环境............................................8
设计原理框图........................................8
二、芯片介绍................................................9
.................................9
三、Max + plusII软件的介绍.................................10
Max + plusII概述....................................10
Max+plusⅡ功能简介..................................11
Max+plusⅡ设计过程.. ...............................14
四、项目的设计与制作........................................20
分频功能实现........................................20
清零功能实现........................................21
计时功能实现........................................21
校时功能实现..

最近更新

2024年广西单招对口考试《桂林旅游高等专科学.. 7页

2024年山东电子职业技术学院单招语文模拟试题.. 13页

甘蔗营养高效利用种质资源筛选研究的综述报告.. 2页

2024年单招考试数学必考题型单招考试难不难 1页

《杠杆导学案-2023-2024学年科学华东师大版20.. 5页

《小瓶“大世界”》作业设计方案 5页

2024四川航天职业技术学院单招加工类面试真题.. 9页

瓜叶菊花青素苷合成分支途径的调控机制的中期.. 2页

2024-2025年鄂尔多斯生态环境职业学院单招数学.. 4页

2024-2025年湖南汽车工程职业学院单招综合素质.. 4页

2024-2025年河北省沧州市普通高校高职单招数学.. 25页

2024-2025年永城职业学院单招面试题库及答案解.. 4页

2024-2025年山东数学体育单招数学冲刺专题-立.. 21页

珠江口荷包岛南湾弧形海岸的平衡与稳定性研究.. 2页

2024-2025年内蒙古自治区兴安盟普通高校对口单.. 21页

2024-2025-2024年体育单招英语期末考试试题 10页

2023年陕西省西安市普通高校对口单招数学自考.. 25页

现代汉语形宾结构多维探究的中期报告 2页

2023年江西青年职业学院单招职业技能考试题库.. 3页

2023年普通高等学校招生考试模拟试题数学4(可.. 2页

2023年宁夏回族自治区石嘴山市高职单招数学测.. 3页

环番类大环化合物的合成及性能研究的中期报告.. 2页

环境因素对腺病毒气溶胶粒级分布和活性的影响.. 2页

王羲之及东晋文士生活研究的中期报告 2页

2024年员工职业素养培训心得体会(6篇) 17页

选煤厂安全规程培训考核试题带答案 A卷 4页

北大青鸟消防控制主机操作说明 2页

吉林省公租房新政策 6页

美的电压力锅电源板原理图 1页

慢性胃炎护理查房Ppt演示文稿 49页