1 / 5
文档名称:

可编程器件EDA技术与实践试卷1.doc

格式:doc   页数:5页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

可编程器件EDA技术与实践试卷1.doc

上传人:中国课件站 2011/8/29 文件大小:0 KB

下载得到文件列表

可编程器件EDA技术与实践试卷1.doc

文档介绍

文档介绍:华北航天工业学院试题
课程名称:可编程器件EDA技术与实践
试卷种类:期末考试(A)卷,共5页
班级: 姓名: 学号: 成绩:
———————————————————————————————





(25分)
,主要出现了高级语言描述、和综合技术为特征的第三代EDA技术,不仅极大地提高了系统的设计效率,而且使设计者摆脱了大量的,将精力集中于创造性的方案与概念的构思上。
,这类器件是用逆熔丝作为开关元件。
,并且是全部可编程的,它还具有全部更改内容或根据需要定制的能力。
,仿真通道文件的扩展名是,波形文件的扩展名是。
clk state Q[3..0]
:
LIBRARY ieee;
USE ;
USE ;
USE ;
ENTITY ram_8 IS
PORT
A : IN std_logic;
din : IN std_logic_vector(7 DOWNTO 0);
dout : OUT std_logic_vector(7 DOWNTO 0);
adr_8 : INOUT std_logic_vector(7 DOWNTO 0));
END ram_8;

USE ;
ENTITY if_case IS
PORT
( a, b, c, d : IN Std_Logic;
sel : IN Std_Logic_Vector(1 downto 0);
y, z : OUT Std_Logic);
END if_case;
ARCHITECTURE logic OF if_case IS
BEGIN
if_label: PROCESS(a, b, c, d, sel)
BEGIN
IF sel="00" THEN y <= a;
ELSIF sel="01" T