1 / 4
文档名称:

可编程器件EDA技术与实践试卷10.doc

格式:doc   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

可编程器件EDA技术与实践试卷10.doc

上传人:中国课件站 2011/8/29 文件大小:0 KB

下载得到文件列表

可编程器件EDA技术与实践试卷10.doc

文档介绍

文档介绍:华北航天工业学院试题
课程名称:可编程器件EDA技术与实践
试卷种类:期末考试(A)卷,共5页
班级: 姓名: 学号: 成绩:
———————————————————————————————





(20分)
,分别为、
、、。

、和三部分组成。
、和三种可编程电路和一个结构的配置存储单元组成。
,适合系统;FPGA的逻辑单元是小单元,适合系统。
,可将整个系统划分为两个子系统:
和。
+PLUSII支持的设计输入方法有, ,

+PLUSII的设计项目的校验包括、、
、。
;波形输入文件的扩展名为;仿真通道文件的扩展名为;
二、简答题(20分)
设计时怎样选择CPLD和FPGA芯片?
说明用原理图输入方法设计电路的详细流程。
说明端口模式INOUT和BUFFER有何异同点。
简述元件例化语句的作用,组成及格式。
(20分)
1. 根据下面的VHDL语句,描述出相应的电路原理图。
LIBRARY ieee;
USE ;
USE ;
ENTITY cfq_1 IS
PORT (d,cp :IN std_logic;
q,nq :OUT std_logic);
END cfq_1;
END ar_4;
ARCHITECTURE ar_4 OF cfq_1 IS
BEGIN
PROCESS (CP)
BEGIN
IF cp=′1′ THEN
q <= d;
nq <=NOT d;
END IF;
END PROCESS;
2. 写出下面电路的结构体。
(20分)
找出下面VHDL程序中的错误,并加以改正。
entity many_errors is port
a: bit_vector(3 to 0);
b: out std_logic_vector(0 to 3);
c: in bit_vector(6 downto 0);)
end many_errors
architecture not_so_good of many_errors
begin
my_label:process
begin
if c = x”F” then
b<= a
else
b <= ‘0101’;
end if
end process;
end not_so_good
(20分)
已知半加器和两输入或门的V