1 / 5
文档名称:

硬件描述语言(VHDL).doc

格式:doc   大小:99KB   页数:5页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

硬件描述语言(VHDL).doc

上传人:rdwiirh 2018/6/2 文件大小:99 KB

下载得到文件列表

硬件描述语言(VHDL).doc

相关文档

文档介绍

文档介绍:硬件描述语言(VHDL)
1基本门电路 2编码器设计一个 8 输入优先级编码器y0 级别最低 y7 级别最高输出为3位编码 3-8译码器仿真结果加法器仿真结果三态门仿真结果比较异步置位的锁存器Latch 2寄存器 8位串行输入串行输出移位寄存器移位寄存器仿真结果可逆计数器仿真结果 60进制计数器仿真结果例由8个触发器构成的行波计数器 8 位行波计数器仿真结果 4序列信号发生器检测器序列发生器三存储器设计 1ROM 同步复位D触发器 library ieee use ieeestd_logic_1164all entity t_ff is port t clk in std_logic q buffer std_logic end t_ff architecture rtl of t_ff is begin process clk begin if clkevent and clk 1 then if t 1 then q not q else q q end if end process end rtl T Clk Q Q 2T触发器 library ieee use ieeestd_logic_1164all entity rs_ff is port r s clk in std_logic q qn buffer std_logic end rs_ff architecture rtl of rs_ff is begin process r s clk begin if clkevent and clk 1 then if s 1 and r 0 then q 0 qn 1 elsif s 0 and r 1 then q 1 qn 0 elsif s 0 and r 0 then q q qn q n else null
end if end if end process end rtl S Clk Q Q R 0 1 Q Q 1 1 1 0 1 0 1 0 Qn 0 0 Qn R S 3RS触发器 z0 z1 z2 z3 z4 z5 z6 z7 z8 8位移位寄存器描述结构描述 8位移位寄存器直接用信号连接描述 3计数器计数器分为同步计数器异步计数器 1同步计数器同步计数器指在时钟脉冲计数脉冲的控制下构成计数器的各触发器状态同时发生变化的计数器带允许端的十二进制计数器可逆计数器加减计数器例六十进制分秒计数器 2异步计数器异步计数器又称为行波计数器它的低位计数器的输出作为高位计数器的时钟信号异步计数器采用行波计数使计数延迟增加计数器工作频率较低描述异步计数器与同步计数器的不同主要体现在对各级时钟脉冲的描述上基本元件 dffr 的描述采用元件例化描述8位行波计数器基本逻辑电路组合逻辑电路时序逻辑电路一组合逻辑电路设计简单门电路编码器译码器加法器多路选择器三态门等§39 基本逻辑电路设计 Vec 000 Y0 1 Vec 001 Y1 1 Vec 010 Y2 1 Vec 011 Y3 1 Vec 100 Y4 1 Vec 101 Y5 1 Vec 110 Y6 1 Vec 111 Y7 1 方法1利用 if 多选择语句自顶向下的优先特性方法2进程内为顺序语句最先描述优先级最低最后描述优先级最高可实现优先级编码方法3利用条件赋值语句 ar