1 / 19
文档名称:

典型事例——quartus2 nios2 sopc.doc

格式:doc   大小:356KB   页数:19页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

典型事例——quartus2 nios2 sopc.doc

上传人:文库旗舰店 2018/6/6 文件大小:356 KB

下载得到文件列表

典型事例——quartus2 nios2 sopc.doc

相关文档

文档介绍

文档介绍:Quartus II
创建工程
启动Quartus II ,选择菜单File->New Project Wizard…打开工程创建向导。设置工程保存路径、工程名称和设计顶层实体名称(本例中都设定为Example)。设定好之后点击下一步
这一步中可以添加已经设计好的文件,如果没有可以直接进入下一步:
选择具体的可编程逻辑器件。此处选择Cyclone系列的EP1C12Q240C8。
选择其他的EDA辅助设计软件,Quartus II (可不选)。
一个新的工程创建成功。
新建VHDL文件
打开菜单File->New,选择Device Design Files->VHDL File
编写具体的程序内容(注意VHDL文件的书写格式)。此处以一个3-8译码器为例进行说明:
具体的程序内容如下:
*************************3-8译码器*************************************
--fuction:以拨盘开关作为数据输入端,用发光二极管表示译码后的信息;
-- datain(0)-datain(2) 分别为c'b'a对应拨盘开关上的1-3号键;
--第一部分:库、程序包声明(下面为使用最为广泛的几个库和程序包)
library IEEE;
use ;
use ;
use ;
--第二部分:实体说明
entity example is --实体名必须和工程创建时声明的名称“example”一致
Port (datain : in std_logic_vector(2 downto 0); --输入端口说明
dataout : out std_logic_vector(7 downto 0) --输出端口说明
);
end example;
--第三部分:结构体(描述设计系统的行为和结构,是设计系统的具体实现)
architecture Behavioral of example is
begin
process(datain) --进程(并行运行)
begin
case datain is --CASE语句
when "111"=>dataout<="10000000"; --条件选择
when "110"=>dataout<="01000000";
when "101"=>dataout<="00100000";
when "100"=>dataout<="00010000";
when "011"=>dataout<="00001000";
when "010"=>dataout<="00000100";
when "001"=>dataout<="00000010";
when "000"=>dataout<="00000001";
when others=>dataout<="11111111";
end case;
end process;
end Behavioral;
*****************************************************************
保存VHDL文件
注意:文件名须和实体名“example”一致,。
三、编译综合
运行Processing->Start->Start Analysis&Synthesis菜单项或直接点击工具栏图标,进行逻辑综合。如果有错误项,再针对性修改,进行逻辑分析,直到逻辑通过为止。
硬件引脚分配。运行菜单项Assignments->Assignment Editor或者点击工具栏图标,再将左边中间工具栏的图标按下,将图标按上,将右上角的图标按下,这样再右边的窗口中就能够看到设计程序的实体中声明的所有输入输出端口。如下图所示:然后电路板的硬件设计情况对3个输入端口和8个输出端口分配引脚号。
对设计进行全综合(逻辑综合、资源分配、生成汇编文件、时间分析)。运行菜单项Processing->pilation或者点击工具栏图标。
下载程序。
运行菜单项Tools->Programmer或者点击工具栏图标。
选择硬件下载方式。
点击图标弹出窗口
再点击创建下载方式
点击“OK”,下载方式创建成功。
在MODE栏选择JTAG模式或者AS模式
添加要下载的文件。JTAG模式对应*.sof格