1 / 33
文档名称:

quartusii使用方法.ppt

格式:ppt   大小:1,790KB   页数:33页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

quartusii使用方法.ppt

上传人:1557281760 2018/8/5 文件大小:1.75 MB

下载得到文件列表

quartusii使用方法.ppt

相关文档

文档介绍

文档介绍:第四章 QUARTUS Ⅱ 使用方法
总体框架
QUARTUS Ⅱ设计流程
新建工程
1
编译前设置
2
全程编译
3
时序仿真
4
引脚锁定
6
RTL电路
5
下载
7
软件界面
QUARTUS Ⅱ设计流程

注意:文件夹名不能使用中文,
但路径中可以包含中文。

选择File→New 命令,
在New窗口中选择
VHDL File。
新建工程
QUARTUS Ⅱ设计流程
在VHDL文本编辑窗口输入一个2选1多路选择器的程序:
entity mux21a is
port (a, b ,s: in bit;
y: out bit);
end entity mux21a;
architecture behav of mux21a is
begin
process (a, b, s) --进程
begin
if s = '0' then y<= a; else y<= b;
end if;
end process;
end architecture behav;
注:由于quartus ii ,如需输入中文注释,需使用
Copytext软件
新建工程
QUARTUS Ⅱ设计流程
新建工程
QUARTUS Ⅱ设计流程
单击“保存”,出现如下对话框,选择“是”。
,将出现“新工程设置向导(1/5)”
新建工程
QUARTUS Ⅱ设计流程
注意:定义顶层文件的
实体名时,要与程序中
实体名一致。
(2/5)将设计文件加入工程中。本处直接单击“next”
新建工程
QUARTUS Ⅱ设计流程
(3/5)选择目标芯片
新建工程
QUARTUS Ⅱ设计流程
在Family中选择:Cyclone Ⅱ
在Available devices中选择:
EP2C20F484C7
新建工程
QUARTUS Ⅱ设计流程
(4/5)工具设置
采用默认设置,
直接单击next