1 / 41
文档名称:

基于vhdl八路彩灯控制器设计说明书.doc

格式:doc   大小:363KB   页数:41页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

基于vhdl八路彩灯控制器设计说明书.doc

上传人:glfsnxh 2018/8/8 文件大小:363 KB

下载得到文件列表

基于vhdl八路彩灯控制器设计说明书.doc

相关文档

文档介绍

文档介绍:目录
2
2. 总体设计 2
各个花样的状态图 2
4
3. 模块设计 4
4
5
6
8
10
4. 仿真图 11
11
11
12
13
13
14
15

现今生活中,市场上未能吸取顾客的注意,高出各式各样的方法,其中彩灯的装饰便是其中非常普遍的一种。使用彩灯即可起装饰宣传作用,又可以现场气氛,城市也因为众多的彩灯而变得灿烂辉煌。
VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。
要求设计一个8路彩灯控制器,要求彩灯可以演示以下花型:
从两边向中间亮,再从中间向两边亮;
实现淡入淡出效果
从左至右逐个亮,在从右到左逐个亮;
2. 总体设计
各个花样的状态图
当选择花样一时状态图如下:
S0=”ZZZZZZZZ” S1="" S2="" S3="" S4="" S5="" S6=""
S0
S1
CLR
S2
S6

S3
S5
S4
当选择花样二时状态图如下:
S0=”ZZZZZZZZ” S1="" S2="" S3=""
S4="" S5="" S6="" S7=””
S8=”” S9="" S10="" S11=""
S12="" S13="" S14="" S15=””
S16=””
S0
s1
CLR
S16
S2

S4
S15
S5
s14
s6
s13
S7
s12
S8
s11
S9
s10

当选择花样三时状态图如下:
S0=”ZZZZZZZZ” S1="" S2="" S3=""
S4="" S5="" S6="" S7=””
S8=”” S9="" S10="" S11=""
S12="" S13="" S14=""
S0
s1
CLR
S2
s14

S3
s13
S4
s12
s11
S5
s10
s6
S7
S9
S8




CLK



LED显示



XUAN

3. 模块设计

--由于机器时钟周期太短,不能满足要求
--此模块实现分频,得到需要的时钟
LIBRARY IEEE;
USE ;
USE ;
ENTITY fenpinqi IS
PORT(
CLK:IN STD_LOGIC; --原机器时钟
CLR:IN STD_LOGIC;
CLK1:OUT STD_LOGIC); --分频后的时钟
END fenpinqi;
ARCHITECTURE ART OF fenpinqi IS
SIGNAL CK:STD_LOGIC;
BEGIN
PROCESS(CLK,CLR)IS
VARIABLE TEMP:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
IF CLR='1' THEN
CK<='0';
TEMP:="000";
ELSIF(CLK'EVENT AND CLK='1')THEN
IF TEMP="111" THEN
TEMP:="000";
CK<=NOT CK;
ELSE
TEMP:=TEMP+'1';
END IF;
END IF;
END PROCESS;
CLK1<=CK;
END ART;

--用分频器分频后的时钟来显示花样实现
--从两边向中间亮,再从中间向两边亮;
LIBRARY IEEE;
USE ;
ENTITY hy1 IS
PORT(CLK1:IN STD_LOGIC;
CLR:IN STD_LOGIC;
XUAN:IN STD_LOGIC_VECTOR(1 DOWNTO 0);