1 / 22
文档名称:

四位二进制计数器.doc

格式:doc   大小:931KB   页数:22页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

四位二进制计数器.doc

上传人:drp539602 2019/1/12 文件大小:931 KB

下载得到文件列表

四位二进制计数器.doc

文档介绍

文档介绍:学生姓名班级学号专业通信工程课程设计题目四位二进制计数器评语组长签字:成绩日期2014年7月15日课程设计任务书学院信息科学与工程学院专业通信工程学生姓名班级学号课程设计题目四位二进制同步加法计数器(缺101111001**********)实践教学要求与任务:了解数字系统设计方法。。熟悉Multisim仿真环境。设计实现四位二进制同步加法计数器(缺101111001**********)工作计划与进度安排:第一周:,练****数字系统设计方法第二周:(缺101111001**********)。,缺(101111001**********),并通过虚拟仪器验证其正确性。指导教师:2014年6月19日专业负责人:2014年6月19日学院教学副院长:,进行VHDL程序编写和仿真结果分析,为以后学****集成电路芯片的使用打下坚实的基础。在此基础上学****了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。在使用Multism进行逻辑电路的连接与分析时,要学会化繁为简,将复杂的电路图连接的更加简化、清晰明了。观察逻辑电路图和逻辑分析仪的运行结果并进行分析。关键词:VHDL程序仿真结果分析逻辑电路图逻辑分析仪目录一、课程设计目的 1二、设计框图 1三、实现过程 21、QuartusII实现过程 92、multisim实现过程 14四、总结 15五、参考文献 17一、课程设计目的1、了解同步加法计数器工作原理和逻辑功能。2、掌握计数器电路的分析、设计方法及应用。3、学会正确使用JK触发器。二、设计框图状态转换图是描述时序电路的一种方法,具有形象直观的特点,即其把所用触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。在本课程设计中,四位二进制同步加法计数器用四个CP下降沿触发的JK触发器实现,其中有相应的跳变,即跳过了101111001**********五个状态,这在状态转换图中可以清晰地显示出来。具体结构示意框图和状态转换图如下:四位二进制同步加法计数器CP输入加法计数脉冲C输出进位信号A:结构示意框图B:状态转换图三、Ⅱ。File——〉NewProjectwizard;然后next;输入ProjectName;即工程名;ProjectLocation,即工程保存的位置;然后next——>……——>next直至finish。。File——〉New再选VHDLFile,,:LIBRARYIEEE;;; entitycount4isPORT(cp,r:IN STD_LOGIC;q:OUTSTD_LOGIC_VECTOR(3DOWNTO0)); endcount4;ARCHITECTUREBehavioralOFcount4IS SIGNALcount:STD_LOGIC_VECTOR(3DOWNTO0); BEGIN PROCESS(cp,r) BEGINifr='0'thencount<="0000"; elsifcp'EVENTANDcp='1'THENifcount="1010"THENcount<="0000";ELSEcount<=count+1;ENDif; endif;ENDPROCESS;q<=count;endBehavioral;双击ImplementDesign(或右键Run),运行程序,调试成功显示如下:。File选VectorwaveformFile——〉OK。