1 / 4
文档名称:

数电实验报告 译码器及其应用.doc

格式:doc   大小:237KB   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

数电实验报告 译码器及其应用.doc

上传人:花花世界 2019/1/28 文件大小:237 KB

下载得到文件列表

数电实验报告 译码器及其应用.doc

文档介绍

文档介绍:院系电子信息工程学院自动化系班级13电气自动化本专实验名称译码器及其应用实验日期2014,11,28姓名学号成绩一、实验目的理解译码器工作机理;掌握数字逻辑电路的分析方法和故障检测方法;运用译码器集成电路搭建实际数字逻辑功能电路;二、实验要求检验74LS138的逻辑功能;运用74LS138及74LS00实现逻辑函数;搭建逻辑电路并检验其功能;三、实验设备数字实验平台、数字式示波器、信号发生器四、实验内容及步骤芯片简要介绍74LS138是集成3线-8线译码器,在数字系统中比较广泛。引脚图(ConnectionDiagrams) 图174LS138引脚图A,B,C为地址输入端,为译码输出端,为使能端。真值表(FunctionTables)指导教师签名邓建平表174LS138真值表H=HIGHLevel;L=LOWLevel;X=Don’tCareNote1:G2=G2A+G2B74LS00是4组两输入与非门引脚图(ConnectionDiagram) 图274LS00引脚图真值表(FunctionTable) 表274LS00真值表B为输入端,为输出端实验电路及分析检验74LS138逻辑功能用数字电路实验平台搭建实际电路如图3,实际测量值填入表3真值表指导教师签名邓建平表33-8译码器实际电路真值表EnableSelectG1 G2C B AX 10 X1 01 01 01 01 01 01 01 0X X XX X X0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 10 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0 图33线-8线译码器74LS138接线图根据实验数据归纳出74LS138芯片的功能为:输入端高电平有效,输出端低电平有效。74LS138有三个使能端,其中,只有当G1=1且G2=G2A+G2B=0时,译码器正常工作,否则,译码功能被禁止。当G1=