1 / 10
文档名称:

一种基于FPGA的高斯随机数生成器的设计与实现.pdf

格式:pdf   页数:10页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

一种基于FPGA的高斯随机数生成器的设计与实现.pdf

上传人:钻石文档库 2013/9/14 文件大小:0 KB

下载得到文件列表

一种基于FPGA的高斯随机数生成器的设计与实现.pdf

文档介绍

文档介绍:万方数据
。基于的计算加速已经逐渐成为提高计算速度和计算效率的蘑段适合在上进行计算加速的应用都具有计算密集型的特点,,大都存在随机采样的过程,,。—椒ǘ圆乃婊述窘辛猉瑆痵痵—、,“最值分析法”和“静态误筹分析法”.×痵S布试词古笮为×检测,;硬件加速器;高斯随机数产生;均匀分布随机数产生芍毓辜扑牛疭甁..—,甀.—,猄.;籊;;收稿期——钪招薷母迨盏絝冢究翁獾玫酵摇鞍肆备呒际跹芯糠⒄﹠.苎芯糠较蛭J峄旌霞傻缏飞鑜⑸。校晟淌冢㎎:、微电际酰.·—.,
万方数据
婊惴“。一∑布峁б”—一,璷『叫近年来,有很多关于在上实现高斯随机数生成器的研究荆甃等人。应用方法在上产生高斯随机数,并对设计过程进行了分析;等人。应用方法设计高斯随机数生成器,并在和两个型号的上进行了实现;,,他们的研究仍然存在很多缺陷,其中最首要的一点就是:已有的研究只关注对高斯随机数产生算法的设计实现过程,“转换”的思想得到高斯随机数的,,,大都将均匀分布随机数生成器作为已知条件看待,没有将均匀分布随机数生成器的设计作为高斯随机数产生的一部分来进行均匀分布随机数生成器对高斯随机数产生过程的影响主要体现在以下龇矫妫确植***婊闹芷诰龆烁咚顾婊的周期,基于的计算加速应用中,需要保证随机数的周期具有町配置属性,这点主要体现在均匀分布随机数的设计中;确植***婊奈豢砭龆丝梢圆高斯随机数的范围,即某些小概率事件的产生需要依靠对均匀随机数位宽的正确配置来实现;确植***婊善鞯墓ぷ魉俣炔荒艿于高斯随机数产生算法的硬件工作速度,为了不降低最终产生高斯随机数的吞吐率,均匀分布随机数本文的研究将均匀分布随机数的产生过程考虑到高斯随机数生成器的设计过程中,综合考虑均匀分布随机数生成器对高斯随机数产生过程的影响,有针对性地解决了上述龇矫娴奈侍猓疚耐ü对均匀分布随机数乍成器的硬件结构,,