1 / 20
文档名称:

触发器及计数器实验报告.docx

格式:docx   大小:24KB   页数:20页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

触发器及计数器实验报告.docx

上传人:rdwiirh 2019/2/24 文件大小:24 KB

下载得到文件列表

触发器及计数器实验报告.docx

文档介绍

文档介绍:触发器及计数器实验报告计算机组成原理实验一 4位二进制计数器实验姓名:李云弟学号:网工1201 【实验环境】 、DE2-115计算机组成原理教学实验系统一台,排线若干。【实验目的】 1、熟悉VHDL语言的编写。2、验证计数器的计数功能。【实验要求】本实验要求设计一个4位二进制计数器。要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F的数据显示。【实验原理】计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下: ???同步计数器?1、结构???异步计数器?计数器的种类?加法计数器????2、功能?减法计数器??可逆计数器???二进制计数器??3、进制?十进制计数器???N进制计数器??? 下面对同步二进制加法计数器做一些介绍。同步计数器中,所有触发器的CP端是相连的,CP的每一个触发沿都会使所有的触发器状态更新。因此不能使用T′触发器。应控制触发器的输入端,即将触发器接成T触发器。只有当低位向高位进位时,令高位触发器的T=1,触发器翻转,计数加1。例如由JK触发器组成的4位同步二进制加法计数器,令其用下降沿触发。下面分析它的工作原理。①输出方程 nnnnCQ?Q3Q2Q1Q0 ②驱动方程 J0?K0?1??J?K?Qn 110??nn?J2?K2?Q1Q0 nnn??J3?K3?Q2Q1Q0 ③状态方程本实验中要求用VHDL语言设计同步4位二进制计数器,令其上升沿触发。【实验步骤】顶层VHDL文件设计创建工程和编辑设计文件首先建立工作库,以便设计工程项目的存储。任何一项设计都是一项工程,都必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被EDA软件默认为工作库。在建立了文件夹后就可以将设计文件通过QuartusII的文本编辑器编辑并存盘,详细步骤如下:首先新建一个文件夹。利用资源管理器,新建一个文件夹,如:d:\li1。注意,文件夹名不能用中文。 1、创建一个工程(1)、双击桌面上的图标,打开QuartusII软件。、再开始建立新项目工程。点击:【File】菜单,选择下拉列表中的【NewProjectWizard...】命令,打开建立新项目工程的向导对话框。点击next. 出现对话框,让你选择项目工程保存位置、定义项目工程名称以及设计文件顶层实体名称。如图: 第一栏选择项目工程保存的位置,方法是点击按钮,选择到刚才我们在第一步建立的文件夹。第二栏用于指定项目工程名称。项目名可以取任意名字,也可以直接用顶层文件名作为项目名。第三栏用于指定顶层文件的实体名称。软件会默认为与之前建立的项目工程名称一致。没有特别需要,我们一般选择软件的默认,不必特意去修改。需要注意的是: 完成以上命名工作后,点击Next,进入下一步。这一步的工作是让你将之前已经设计好的工程文件添加到本项目工程里来,我们之前若没有设计好的文件,就不用理它,跳过这一步,直接点Next,再进入下一步。如下图对话框: 这一步的工作是让你选择好设计文件下载所需要的可编程芯片的型号,实验中我们所用的实验平台是DE2-115开发板,因此我们选择CycloneIVE,EP4CE115F29C7。点击Next,进入下一步。如下图对话框: 这一步是让你选择第三方开发工具,我不需要,直接点击Next,进入下一步。出现下图页面以上页面显示刚才我们所做的项目工程设置内容的“报告”。点击Finish,完成新建项目工程的任务。 2、输入源程序。新建一个VHDL项目文件。打开QuartusII,选择菜单“File”?“New”,在New窗中的“DesignFiles”中选择编译文件的语言类型,选“VHDLFile”。图选择编辑文件的语言类型然后在VHDL文本编译窗中键入VHDL程序。VH