1 / 35
文档名称:

可编程逻辑器件设计及应用实验报告.doc

格式:doc   大小:3,424KB   页数:35页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

可编程逻辑器件设计及应用实验报告.doc

上传人:花开花落 2019/3/2 文件大小:3.34 MB

下载得到文件列表

可编程逻辑器件设计及应用实验报告.doc

相关文档

文档介绍

文档介绍:HarbinInstituteofTechnology可编程逻辑器件设计及应用实验报告实验一:电路图方法设计:异步16分频实验内容熟悉ISEM的安装及使用熟悉电路图方式的输入方法;熟悉ISE环境下的波形仿真学****SIE安装过程建立一个新的工程(cpld9500系列)输入电路图异步16分频,如图1-1所示:图1-1二实验结果1、异步16分频电路图如图1-2所示:图1-2建立测试波形方法仿真激励图形,如图1-3所示:图1-"CLK"LOC="P6";NET"CLR"LOC="P7";NET"O1"LOC="P42";NET"O2"LOC="P37";NET"O3"LOC="P40";NET"O4"LOC="P39";最终仿真结果如图1-4,1-5所示:图1-4图1-5三实验结果讨论分析通过本次试验,初步掌握了ISE的使用方法,通过ISE自带库文件完成电路的搭建,实现了对输入时钟的2分频,4分频,8分频和16分频,通过最终的试验验证得到了正确的试验结果。指导教师签字:实验二电路图方法分层设计:全加器一、实验内容建立一个新的工程(cpld9500系列)建立一个独立的电路图(All_ADD)输入电路图:一位全加器图2-1一位全加器建立测试波形方法仿真激励图形图2-2波形仿真激励功能仿真记录结果,分析正确性。生成电路模块图2-3模块建立利用电路模块设计8位全加器,(新电路图或者顶层电路图)图2-48位全加器(顶层电路图)建立测试波形方法仿真激励图形图2-58位全加器波形仿真激励学****总线数据预置方式:通过使用相同名字来对总线进行连接设置,和总线数据格式。二实验结果一位全加器功能仿真结果:图2-6功能仿真结果8位全加器功能仿真结果一(无进位):图2-7功能仿真结果8位全加器功能仿真结果二(有进位):图2-8功能仿真结果三实验结果讨论分析 本次试验充分体现了模块化设计思想,首先我们运用库文件实现了一位全加器,也即实验中的ALL_ADD模块,在实现此模块后进行仿真分析,确定无误后,运用8个一位全加器实现实现8位全加器,考虑进位。在实验中进一步熟悉了软件的使用流程和具体的实际操作如操作总线结构等基本操作,可谓知行合一。指导教师签字:实验三Verilog语言方法设计:8位全加器一、实验内容建立一个新的工程(cpld9500系列)建立一个Verilog模块(All_ADD8)图3-1建立一个新的verilog模块输入全加器图3-2输入全加器建立测试波形方法仿真激励图形测试波形一:图3-3测试波形测试波形二:图3-4测试波形功能仿真记录结果,分析正确性。建立Verilog测试模块源代码如下: