1 / 33
文档名称:

EDA数码管的显示整个流程实验报告.docx

格式:docx   大小:652KB   页数:33页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA数码管的显示整个流程实验报告.docx

上传人:坐水行舟 2019/5/10 文件大小:652 KB

下载得到文件列表

EDA数码管的显示整个流程实验报告.docx

相关文档

文档介绍

文档介绍:羇芅肁EDA第一次实验报告实验目的:螂腿袈利用QuartusⅡ软件,采用自上而下或自下而上的模块化层次设计数码管扫描显示电路,通过仿真波形及硬件实验平台验证设计,从而熟悉QuartusⅡ的数字系统设计流程以及FPGA开发模式。羈莄膃实验设计方案芁衿袄原理说明:螅螆袀几个数码管在同一时间进行显示利用人眼的视觉暂留效应,把多个数码管按一定顺序(从左至右或从右至左)循环进行点亮,当点亮的频率足够高时,我们可以看到全部同时显示(点亮)。因此,我们只要给数码管驱动电路一个足够高的扫描工作频率,就可以实现几个数码管同时点亮。而用来产生这个扫描频率的驱动电路,可以通过BCD七段译码器的输入数据切换电路,通过计数器的输出来控制几个多路数据选择器电路的实现,只要计数频率足够高,就可以实现我们的要求。蚁蚀羈结构框图:袇袄薄莄莀莂3选1多路选择器袈羃虿模4计数器螃膀肇蚆莅羅膃袁肄7段译码器产生00,01,10,11 一次选择其中一组信号螇蒃蚂蚂蚁***2-3译码器袈袆莆肂莂薂蚆羄蒁abcdefg不同的段位发光形成数字蒁袈芇对数码管进行选择 位选蚇肃螇袀薈芄蝿蒅膀薄荿莇其中,3选1多路选择器以及2-3译码器的输入信号是由模4计数器统一输出控制,以达到数码管的位选以及段选同时变化的效果。薆薃羄实验流程:肃聿蚁模4计数器设计并进行仿真,下载到电路板上测试薇羆罿3选1多路选择器设计蒂衿莇2-3译码器设计并进行仿真虿肄莅综合利用模4计数器,3选1多路选择器以及2-3译码器完成数码管扫描显示电路的设计并进行仿真,下载到电路板上测试袂薀蒃蒆蒆肁2-3译码器设计(仿真)莁芀蒇3选1多路选择器设计蒇薅螅模4计数器设计(仿真、下载测试)螁肁袁蕿蚃螀数码管扫描显示电路设计(仿真、下载测试)蒄螁薇莆羆膆袃薁薃蒈膄蕿芃肈蚇葿蒆薇 综合螂螈肁芆薅薂 膁蒈螆莈螃蚄模4计数器与2-3译码器大同小异。所以,我就以2-3译码器为例简单的讲述一下演示工程的创建过程。薁艿螃荿肅莁3选1多路选择器:羀罿袆打开Quartus,创建工程,点击File->NewProjectWizard膆膄肅蚄螀蒅注意工程文件名一定要和顶层实体名一致,否则到后面编译的时候就有可能会报错并且还很难找出错误原因。芈薆膀点击Next,下一步是添加工程所需子模块设计源文件及设置用户库,我们目前不需要,直接单击Next。膃蒀羆选择目标器件肅蚅蒆薂芀羃我在实验室里截了图,其实可以看出,上面器件的选取和电板上的芯片型号相同。因此,一定要根据实际情况对器件进行选取,否则,仿真时就会出现问题。肆螃衿羂羁羆点击Next,是用户根据需求确定工程所用的第三方综合、仿真、时序分析工具。本工程默认None,直接点击Next。膈膅袇工程信息的确认莁蚁蚅核实工程信息设置,如果需要修改则可单击black返回相关页面重新设置。再单击Finish结束工程创建。羅芄羂创建设计文件:选择File->New,弹出如图所示对话框,选择BlockDiagram/SchematicFile选项,弹出空白图形编辑器窗口。袀蒁肆肇蚆肃元件的放置,命名及连接薄羈肂在空白处双击鼠标左键,弹出如图对话框,肈螄蚀可以直接在Name里面输入要找的器件(这样做会比较快一些),也可以一个一个打开查找。然后单击OK或者键盘Enter,放置元器件。右击选中器件并在快捷菜单中选择Properties命令,在Name栏中输入器件名。羃蚈膆连好的元件电路如图所示袅