1 / 11
文档名称:

Verilog所有知识点.doc

格式:doc   大小:797KB   页数:11页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

Verilog所有知识点.doc

上传人:xunlai783 2019/5/25 文件大小:797 KB

下载得到文件列表

Verilog所有知识点.doc

相关文档

文档介绍

文档介绍::空格(\b),Tab(\t),换行符(\n),换页符。 :/**/// ,关键词:标识符由英文字母、数字、$符、下划线组成,以英文字母或下划线开头。 :0:逻辑假 1:逻辑真 x或X:不确定状态 z或Z:高阻态常量:<1>格式:<+/-><位宽>’<基数符号><数值>b/o/d/h:二、八、十、十六进制<2>数字可加下划线:8’b1001_1001表示8位二进制数10011001<3>科学计数:5E-4:5*10^4<4>利用参数定义语句来定义一个标识符表示常量:parameter参数名1=常量1,参数名2=常量2;例:parameterBIT=1,BYTE=8;字符串:双撇号内的字符序列,不能分多行书写,表达式或赋值语句中字符串要换成无符号整数,用8位ASCII码表示,一个8位ASCII码表示一个字符变量的数据类型:type)类型:线网类被定义后若没有被元件驱动,则默认值为高阻态关键词:wire:wire[n-1:0]变量名1,变量名2,…,变量名n;除wire外还有wand、wor、tri、triand、trior、trireg寄存器类型:寄存器型变量只能在initial或always内被赋值,没被赋值默认为x状态。4种类型的寄存器变量:<1>reg:行为描述中对寄存器型变量说明<2>integer:32位有符号整数型<3>real:64位有符号实型变量(默认值是0)<4>time:64位无符号时间型①reg:格式:reg[n-1:0]变量名1,…,变量名n;例:integercounter;initial//initial是过程语句结构,赋值给寄存器类型变量counter=-1;③real:通常用于对实数型常量进行储存运算例:realdelta;initialbegindelta=4e10;delta=;initiali=delta;//i得到的值为2④time:主要用于储存仿真时间,只储存无符号整数,常调用系统函数$time例:timecurrent_time;initialcurrent_time=$time;Verilog基本结构module模块名(端口名1,端口名2,…)端口类型说明(input,output,inout)//inout是双向端口参数定义;//将常量用符号常量代替,非必须结构数据类型定义(wire,reg等)实例化底层模块和基本门级元件;连续赋值语句(assign);过程块结构(initial和always);行为描述语句;endmodule描述方式:①结构描述方式:调用其他已定义好的底层模块对整个电路进行描述,或直接调用基本门级元件描述。②数据流描述方式:使用连续赋值语句对电路逻辑功能进行描述。③行为描述方式:使用过程块语句结构(initial,always)。组合逻辑电路门级建模基本门级元件:and:多输入与门or:多输入或门xor:多输入异或门buf:多输出缓冲器bufif1:高电平有效三态缓冲器bufif0:低电平有效三态缓冲器nand:多输入与门nor:多输入或非门xnor:多输入异或非门not:多输入反相器notif1:高电平有效三态反相器notif0:低电平有效三态反相器①多输入门:andA1(out,in1,in2,in3);②多输出门:bufB1(out1,out2,…,in);③三态门:bufif1B1(out,in,ctrl);notif1N1(out,in,ctrl);组合逻辑电路数据流建模数据流建模使用的基本语句是连续赋值语句,用于对wire型变量进行赋值,由关键词assign开始,由操作数和运算符组成的逻辑表达式。2选1数据选择器:wireA,B,SEL,L;assignL=(A&~SEL)|(B&SEL);组合逻辑电路行为级建模描述数字逻辑电路的功能和算法,使用always结构,后面跟一系列过程赋值语句,给reg类型变量赋值。条件语句:if:①if(condition_expr)true_statement;②if(condition_expr)true_statement;elsefale_statement;③if(condition_expr1)true_statement1;elseif(condition_expr2)true-statement2;...elsedefault_statement;注:if括号中的表达式若为0,z或x都按“假”处理,否则按“真”处理。多支路分支语句:case:case(case_expr)item_expr1:statement1;item_expr2:statement2;...default:default_statement;//可省略endcase