1 / 25
文档名称:

第6章 max plusⅱ使用进阶.ppt

格式:ppt   大小:479KB   页数:25页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

第6章 max plusⅱ使用进阶.ppt

上传人:dreamzhangning 2019/5/28 文件大小:479 KB

下载得到文件列表

第6章 max plusⅱ使用进阶.ppt

相关文档

文档介绍

文档介绍:第6章MAX+plusⅡ使用进阶本章提要:VHDL输入设计方法LPM调用方法优化设计方法其他设置与安装知识教学方法:+plusⅡ的VHDL设计向导任务:完成16进制计数译码器的工程设计与原理图输入方法一样,首先应该为此工程建立好工作库目录,以便工程存储。在此可建立文件夹e:\myname\guide为工作库。,…Date3输入文件并保存注意:(1)(2)|Project|SetProjecttoCurrentFile或File|Project|Name,在弹出的Project|Name框中指定e:\myname\,当前设计即可被指定为工程而出现在MAX+pusⅡ主窗口的左上方。、选择VHDL版本号和排错出现编译窗口后,选择VHDL的版本号,选择菜单Interfaces|listReaderSettings,在弹出的窗口中选择VHDL1987或VHDL1993,再按OK即可。选定的版本号在以后编译时是默认的,可省略这一步。|New,再选择WaveformEditorfile,单击OK,出现WaveformEditor编辑窗,再选择Node|EnterNodesfromSNF…,在弹出的对话框中选择节点Date7选择菜单Option|SnaptoGrid将前面的“√”去掉,以便改变时钟信号的周期选择菜单File|EndTime…,设定仿真时间设定时钟信号,,需要在文件编译仿真正确无误后,为cnt4创建一个元件图形符号。选择菜单File|CreateDefaultSymbol,MAX+plusⅡ出现一个对话框,t4,按下【确定】,用来将4位二进制数译码为驱动7段数码管的显示信号。、编译、仿真及其元件符号的创建过程同上,即重复上面的“”全过程即可,文件放在同一目录e:\myname\guide内Date10