1 / 26
文档名称:

uvm初级开发指南.pdf

格式:pdf   大小:1,228KB   页数:26页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

uvm初级开发指南.pdf

上传人:977562398 2019/7/27 文件大小:1.20 MB

下载得到文件列表

uvm初级开发指南.pdf

相关文档

文档介绍

文档介绍:UVM初级开发指南本文完成于13年10月,是自己在做验证过程中的第一个文档。文档中以张强的《》中的第一章的示例作为模型,,并添加了C语言和SV语言的联合仿真,对初学UVM验证的同学提供实际操作方面的帮助。因为自己的毕业设计需要用到文档中的部分内容,所以直到现在才将其分享出来,希望更多的人能够受益。写在前面时光荏苒、岁月如梭,转眼已到自己毕业的时间。回首自己将近三年的研究生学****生活,一路坎坎坷坷,幸而得到身边许多良师益友的关怀和指导、帮助和激励,使自己得以勤奋自勉,顺利完成学业。以前自己主要是做单片机、MSP430、STM32,写过LDPC的译码Verilog代码,偶尔做做安卓客户端,玩过新浪的SAE,总体来说做得比较杂,对于验证方面的知识从来没有接触过。之后自己分到的任务是用SystemVerilog做一个CPU模型,用于测试我们的RTL代码。于是自己开始学****SV,在学****SV的过程中钟文枫的《SystemVerilog与功能验证》这本书给自己提供了很大的帮助,自己基本上将里面的代码都敲了一遍,就这样摸索了大约两周吧,摸索到了UVM(UniversalVerificationMethodology)这个陌生的东西,到这里,自己才算是摸到了验证的门沿。同时期间由于北大的需求(要实现两个软件自动执行然后文件夹比较的功能,也是用于验证),自己学****了批处理语言,给下一步工作打下了基础。然后找到张强的《》(去年8月张强出了一本《UVM实战-1》的书,可喜可贺,建议阅读该书)开始看啊看,主要看了其中的前两章,总共能看三遍吧,因为后面基本上都是源码的分析,我又用不到理论的东西(其实有空了还是要看一看的,这样出现问题了好排查),因此没有往后看,算是对UVM有个初步的了解,期间各种百度和google,UVM的中文资料还是比较少,最后在EETOP的IC验证板块中找到一些信息,后面自己有什么问题都在上面问。期间,,其中收获颇丰,不仅对Questasim的软件进行了学****并且对UVM的编译和其框架以及其组件之间的关系都有了清晰的了解。同时对DPI接口进行了学****通过DPI接口能够调用外部的C或者C++函数。这一段时间也差不多是两周吧,可以说这两周是我成长最快的两周,,自己成长很快,同时少走了很多弯路。在做项目期间,自己深深感受到文档和注释的重要性,很多人都不喜欢写注释,不喜欢写文档。心里想着先将代码写完,到时候再补注释和文档,但是最终II代码写完,调试通过之后,就不想写了,代码已经通过还要注释干什么?对于另外一个接手工作的人,注释和文档太重要了。期间自己为了排查RTL里面的错误,因此要读待测代码,结果待测代码里面注释很少,另外文档和代码不同步,导致读代码效率很低,读了两遍还是没有理清思路(这里也有可能是自己能力的问题,呵呵)。因为深受其害,自己对这方面格外重视,我对自己的要求是:一个testbench必须有说明文档,一个case必须有测试功能描述说明,一个工程必须有修改说明,另外代码的注释应不低于70%,关键代码必须有更详细的注释。另外就是要重视计划,按照计划一步一步来,一个工作并不是说越快越好,而是按照进度保证完成质量。尤其是自己在做×××的验证的时候,基本上是现学现卖,很多东西乱成一团糟,最终出来的结果不如人意。究其原因,就是自己太过于追求速度,忽略了前期对基础知识的学****最后,通过来自各方面的消息以及综合自己找实****的情况,感觉国内的IC验证还是不受重视,还是没有发展起来,只有外资企业在找验证在招数字验证方面的实****国内本土的企业基本上都还没有动作,这对于这几年学验证的也是一个机遇吧,我相信随着国内IC行业的发展,对验证人员的需求会越来越多。郭乐2015-3-18于西安电子科技大学III目录第一讲开发环境搭建.........................................................................................1第二讲UVM的helloworld..................................................................................3第三讲编译UVMDPI接口................................................................................6第四讲一个完整的UVMDEMO...................