1 / 17
文档名称:

电子密码锁设计.doc

格式:doc   大小:1,075KB   页数:17页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

电子密码锁设计.doc

上传人:012luyin 2019/8/18 文件大小:1.05 MB

下载得到文件列表

电子密码锁设计.doc

相关文档

文档介绍

文档介绍:电子密码锁设计课程设计课程EDA技术课程设计题目电子密码锁院系电子科学学院专业班级电子信息工程学生姓名学生学号指导教师东北石油大学课程设计任务书课程EDA技术课程设计题目电子密码锁专业电子信息工程姓名学号主要内容、基本要求、主要参考资料等主要内容:设计一个密码锁的控制电路,第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,当输入正确代码时,输出***信号以推动执行机构工作。基本要求:1、设计一个密码锁的控制电路,当输入正确代码时,输出***信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示***;2、在锁的控制电路中储存一个可以修改的4位代码,当***按钮开关(设置成8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,***;3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号,并输出一个信号推动LED不断闪烁。主要参考资料:[1](第二版).北京:科学出版社,2005.[2]:高教出版社,2006.[3]:高教出版社,、,安全防盗已成为全社会关注的问题。基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ开发软件设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。基本原理如下:在控制电路中储存一个可以修改的4位代码,当我们把第一个按钮触动后开始计时,若5秒后未将锁打开,电路自动进自锁状态,红灯亮绿灯灭,同时由扬声器发出持续20秒的报警信号,并输出一个信号推动LED不断闪烁此;如果在触动按钮的5秒内,输入的4位代码等于存储代码时,输出***信号以推动执行机构工作,此时,用绿灯亮、红灯熄灭表示***。#*987654321 键盘 按键输入数据输入 工作时钟脉冲信号 键盘扫描信号图1设计框图二、设计步骤和调试过程图2总体电路1、 扫描信号 按键输入图3密码锁输入模块电路框图密码锁输入模块的电路框图如图3所示,它由时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路和按键存储电路组成。时序产生电路用于产生中三种不同频率的工作脉冲波形,包括系统时钟信号、弹跳消除取样信号和键号键盘电路可提供键盘扫描信号。该信号由ky3~ky0进入键盘,其变化的顺序1110-1101-1011-0111-1110……周而复始。扫描信号0111代表扫描的为*、0、这一排按键,当*这个按键被按下时,由kx2~kx0读出的值为011。按键位置的数码关系如表1所列。弹跳消除电路可避免误操作发生。由于设计中采用的矩阵式键盘是机械开关结构,因此,在开关切换的瞬间,会在接触点出现信号来回弹跳的现象。为使电子密码锁可靠工作,必须加上弹跳消除电路。弹跳消除电路采用软件延时的方法消除抖动,其仿真波形如图3所示。从图3中可以看出,若采样信号连续两次或超过两次检测到高电平信号,说明按键状态确实发生了变化,此时电路输出一个时钟周期的按键信号;否则当作抖动处理而不予理会,以此来消除抖动。对于键盘译码电路,由于图2中的键盘按键分为数字按键和功能按键,每一个按键可负责不同的功能,而键盘所产生的输出(也就是扫描回复信号)却无法直接拿来用作密码锁控制电路的输入,所以必须由键盘译码电路来规划每个按键的输出形式,以便执行相应的动作。键盘存储电路可将每次扫描产生的新按键数据存储下来,因此新数据可能会覆盖前面的数据,所以需要一个按键存储电路,以将整个键盘扫描完毕的结果记录下来。图4所示是密码锁输入模块的仿真波形,图中,数字键数据“0、1、2、3、4、5、6、7、8、9”和功能键数据“0100、0001”所得到的输出不同,由此可证明密码锁输入模块的正确性。图4电子密码锁键盘输入本模块主要是将设置的密码锁存到中间变量ram中去,同时控制灯的变化,由于这里的灯并不能作为最终的输出,所以这里先用led_r_temp1、led_r_temp2代替。process(enter1,set)beginif(enter1'eventandenter1='1')thenif(set='0')thenram<=datain;l