1 / 8
文档名称:

可编程逻辑器件及eda技术模拟题.doc

格式:doc   大小:22KB   页数:8页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

可编程逻辑器件及eda技术模拟题.doc

上传人:iris028 2019/12/21 文件大小:22 KB

下载得到文件列表

可编程逻辑器件及eda技术模拟题.doc

相关文档

文档介绍

文档介绍:可编程逻辑器件及EDA技术试卷专业班级:测控姓名:?学号:?(20分)、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。;;,在每次上电后必须进行一次配置;,MAX7000系列属FPGA结构。;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述________。,其信号更新是_______。;;;。,其综合结果可实现____。,下列对时钟边沿检测描述中,错误的是_____。’eventandclk=‘1’(clk)’eventandclk=‘0’’stableandnotclk=‘1’,不属于并行语句的是:…ELSE…,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:,执行完成后,、,其中哪种库是用户的VHDL设计现行工作库:,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中______不属于面积优化。,哪一个不具有逻辑综合功能:________。+(10分)1:信号与变量的功能特点(),以及应用中的异同点()2:EDA的设计流程(1234)3:TYPE与SUBTYPE区别是()4:Moore型和Mealy型两类状态机的区别是()5:FPGA与CPLD的结构区别是()(20分),其系统模块图和功能表如下图所示,试用VHDL描述该数据选择器MUX。MUXSEL(1:0)AIN(1:0)BIN(1:0)COUT(1:0)SELCOUT00011011OTHERSAorBAxorBAnorBAandB“XX”,写出VHDL程序(RTL级)四、VHDL程序改错:(20分)仔细阅读下列程序,回答问题LIBRARYIEEE;--;--2ENTITYLED7SEGIS--3PORT(A:INSTD