1 / 20
文档名称:

QuartusII软件的使用方法.doc

格式:doc   大小:1,370KB   页数:20页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

QuartusII软件的使用方法.doc

上传人:2072510724 2020/1/22 文件大小:1.34 MB

下载得到文件列表

QuartusII软件的使用方法.doc

相关文档

文档介绍

文档介绍:QuartusII软件的使用方法QuartusII的设计流程QuartusII软件的使用方法:(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。(1)双击QuartusII软件启动图标,即可启动QuartusII软件,启动界面如图1所示。 (2)选择菜单File→NewPrejectWizard命令,即弹出“工程设置”对话框(图2),以此来建立新的工程。(3)在单击“next”后,出现了设置工程的基本信息,如图3所示。完成图3中基本信息的输入后,单击图3中的“finish”按钮。,我们就可以建立设计文件。下面我们以一个半加器的VHDL的设计,来介绍在QuartusII如何实现VHDL语言输入。(1)建立文件。单击“File”菜单下的“New”命令,在弹出“New”对话框如图4所示。在“DeviceDesignFiles”页面下双击“VHDLFile”选项后建立新文件,如图5所示。图5VHDL文本编辑窗口(2)输入程序。在图1-11中输入半加器的VHDL程序,如图6所示。(3)保存文件。单击保存文件按钮,,,单击“保存”按钮即可保存文件,如图7。(1)选择目标芯片。单击“Assigments”菜单下的“device”命令,在弹出的对话框中按照图8进行设置。设置完后单击“finish”。图1-29图8 (2)编译工程。在图1-11中单击水平工具条上的编译按钮,plilation,开始编译。如果编译过程出现错误,要将错误改正,保存后再次编译,直到编译无错误为止。,验证电路的行为和思想是否一致。仿真分为功能仿真和时序仿真。功能仿真是在设计输入之后,综合和布局布线之前的仿真,不考虑电路的逻辑和门电路的时间延时,着重考虑电路在理想环境下的行为和预期设计效果的一致性。时序仿真是在综合、布局布线后,也即电路已经映射到特定的工艺环境后,考虑器件延时的情况下对布局布线的网络表文件进行的一种仿真,其中器件延时信息通过反向标注时序延时信息实现的。QuartusII中默认的仿真为时序仿真。(1)建立矢量波形文件。单击“File”菜单下的“New”命令,在弹出的“New”对话框中选择“OtherFiles”页面,如图9。选择“VectorWaveformFile”后单击“OK”按钮,弹出如图10所示的矢量波形编辑窗口。 图10(2)添加引脚或节点。在图10中,左键双击“Name”下方空白处,弹出“InsertNodeorBus”对话框,如图11所示。单击对话框“NodeFinder…”按钮后,弹出“NodeFinder”对话框,如图12所示。在图12中,在“Filter”后面的方框里选择“Pin:all”,然后单击“List”按钮,在“NodeFound”栏中列出了设计中的所有的输入/输出引脚号,如图13所示。单击图13中的按钮,所有列出的输入/输出引脚号被复制到右边一侧。也可以根据情况选择部分引脚号复制到右边,方法是在左边选中想要的引脚号,单击按钮即可。选择好了引脚号后,单击“OK”按钮,返回“InsertNodeorBus”对话框,此时,在“Name”和“Type”栏里出现了“MultipleItems”项,如图14。单击“OK”按钮,选中的输入/输出引脚号都添加到矢量波形编辑窗口中,如图15所示,单击“OK”按钮,进入矢量波形编辑窗口,如图16。(3)编辑输入信号并保存文件。在图16中单击“Name”下方的“A”,即选中该行的波形。在本例中将输入信号“A”设置为时钟信号,单击工具栏中的按钮,弹出“Clock”对话框,此时可以修改信号的周期、相位和占空比。设置完后单击