1 / 4
文档名称:

verilog设计经验点滴.doc

格式:doc   大小:30KB   页数:4页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

verilog设计经验点滴.doc

上传人:iris028 2020/3/24 文件大小:30 KB

下载得到文件列表

verilog设计经验点滴.doc

相关文档

文档介绍

文档介绍:verilog设计经验点滴2005-8-291,敏感变量的描述完备性Verilog中,用always块设计组合逻辑电路时,在赋值表达式右端参与赋值的所有信号都必须在always@(敏感电平列表)中列出,always中if语句的判断表达式必须在敏感电平列表中列出。如果在赋值表达式右端引用了敏感电平列表中没有列出的信号,在综合时将会为没有列出的信号隐含地产生一个透明锁存器。这是因为该信号的变化不会立刻引起所赋值的变化,而必须等到敏感电平列表中的某一个信号变化时,它的作用才表现出来,即相当于存在一个透明锁存器,把该信号的变化暂存起来,待敏感电平列表中的某一个信号变化时再起作用,纯组合逻辑电路不可能作到这一点。综合器会发出警告。Example1:inputa,b,c;rege,d;always@(aorborc)begine=d&a&b;/*d没有在敏感电平列表中,d变化时e不会立刻变化,直到a,b,c中某一个变化*/d=e|c;endExample2:inputa,b,c;rege,d;always@(aorborcord)begine=d&a&b;/*d在敏感电平列表中,d变化时e立刻变化*/d=e|c;end2,条件的描述完备性如果if语句和case语句的条件描述不完备,也会造成不必要的锁存器。Example1:if(a==1'b1)q=1'b1;//如果a==1'b0,q=?q将保持原值不变,生成锁存器!Example2:if(a==1'b1)q=1'b1;elseq=1'b0;//q有明确的值。不会生成锁存器!Example3:reg[1:0]a,q;....case(a)2'b00:q=2'b00;2'b01:q=2'b11;//如果a==2'b10或a==2'b11,q=?q将保持原值不变,锁存器!endcaseExample4:reg[1:0]a,q;....case(a)2'b00:q=2'b00;2'b01:q=2'b11;default:q=2'b00;//q有明确的值。不会生成锁存器!endcaseVerilog中端口的描述1,端口的位宽最好定义在I/O说明中,不要放在数据类型定义中;Example1:moduletest(addr,read,write,datain,dataout)input[7:0]datain;input[15:0]addr;inputread,write;output[7:0]dataout;//要这样定义端口的位宽!wireaddr,read,write,datain;regdataout;Example2:moduletest(addr,read,write,datain,dataout)inputdatain,addr,read,write;outputdataout;wire[15:0]addr;wire[7:0]datain;wireread,write;reg[7:0]dataout;//不要这样定义端口的位宽!!2,端口的I/O与数据类型的关系:端口的I/O端口的数据类型module内部module外部inputwirewire或regoutputwire或regwireinoutwirewire3,assign语句的左端变量必须是wire;直接用"="给变量赋值时左端变量必须是reg!Exam

最近更新

基于亚马逊EC2构架的职高网络教学系统的设计和.. 2页

基于云平台的企业数据安全研究与保护的开题报.. 2页

2024年工作计划必备(8篇) 25页

2024年工作能力态度自我评价 3页

2024年工作的会议纪要 36页

基于TBCI的企业投资价值分析——以青岛啤酒和.. 2页

2024年工作岗位调动申请书15篇(精品) 19页

2024年工作安排失误检讨书(3篇) 5页

分子动力学模拟乙亚胺分子内动力学 31页

基于ROS的室内四旋翼飞行器SLAM研究的开题报告.. 2页

标准食品购销合同范本 5页

李白的诗教案汇集 35页

基于Peoplesoft系统的某公司人力资源管理系统.. 2页

基于OWL-S的语义Web服务自动组合研究的开题报.. 2页

橘红胶囊与放化疗联合治疗儿童肿瘤的增敏作用.. 31页

2024年届毕业生自荐信 22页

演讲稿范文2 37页

物业管理协议书集锦(20篇) 70页

基于KLR的金融安全预警指标研究的开题报告 2页

班主任颁奖典礼开场主持稿(3篇) 12页

电气专业工作总结 48页

西医综合考研资料-内科学复习重点及纲要 8页

电气系统健康监测中的传感器优化 31页

预防接种工作规范培训后测试题2022-2023年(有.. 12页

安全生产教育培训考试试题含答案 7页

肝动脉化疗栓塞术课件 18页

过氧化氢低温等离子体灭菌器规范操作演示文稿.. 30页

加油站 网架吊装方案 (1) 75页

最新中国南方电网有限责任公司电能计量管理规.. 42页

用鞋子催人回家法术 3页