1 / 7
文档名称:

基于FPGA的2FSK调制器的实现实验报告.doc

格式:doc   大小:978KB   页数:7页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

基于FPGA的2FSK调制器的实现实验报告.doc

上传人:文档大全 2020/5/23 文件大小:978 KB

下载得到文件列表

基于FPGA的2FSK调制器的实现实验报告.doc

相关文档

文档介绍

文档介绍:XX大学实验报告课程名称:可编程ASIC设计实验名称:基于FPGA的2FSK调制器的实现学院:电子科学与技术学院专业:电子科学与技术班级:提交时间:指导教师:报告人:学号:实验地点基于FPGA的2FSK调制器的实现2FSK调制原理2FSK信号是用载波频率的变化来表征被传信息的状态的,被调载波的频率随二进制序列0、1状态而变化,即载频为时代表传0,载频为时代表传1。显然,2FSK信号完全可以看成两个分别以和为载频、以和为被传二进制序列的两种2ASK信号的合成。2FSK信号的典型时域波形如图1所示,其一般时域数学表达式为图12FSK信号的典型时域波形 (10-1)式中,,,是的反码,即2、用FPGA实现2FSK调制器的方案采用键控法实现2FSK,功能模块设计如图所示。通过不同的分频器,产生频率分别为f1和f2的基频。基带信号为“1”时,图2用FPGA实现2FSK调制器方案频率号为“1”时,频率f1的信号通过;当基带信号为“0”时,频率f2的信号通过。f1和f2作为正弦表的地址发生器的时钟,正弦表输出正弦波的样点数据,经过D/A数模转换,得到连续的2FSK信号。3、将开发板上的50MHz的晶振分频成1MHz和200KHz基频来作为f1信号和f2信号;将27MHz的晶振分频成1KHz的基带信号。modulefsk(clk_50MHz,clk_27MHz,wave);//两个晶振输入,wave作为波形输出output[7:0]wave;inputclk_50MHz,clk_27MHz;reg[13:0]count1,count2,count3;//分别是三个分频器的计数regclk_1MHz,clk_200KHz,clk_1KHz,clk;//clk是最终输出波形reg[6:0]addr;//波形地址reg[7:0]wave;initial//把一些中间变量设定初值begincount1<=0;count2<=0;count3<=0;clk_1MHz<=0;clk_200KHz<=0;clk_1KHz<=0;clk<=0;addr=0;end//--------------1MHz分频-------------------------always@(posedgeclk_50MHz) begin if(count1==24) begin count1<=0; clk_1MHz<=~clk_1MHz; end elsecount1<=count1+1;//计数 end//--------------200KHz分频-------------------------always@(posedgeclk_50MHz) begin if(count2==124) begin count2<=0; clk_200KHz<=~clk_200KHz; end elsecount2<=count2+1;//计数 end//--------------1KHz分频-------------------------always@(posedgeclk_27MHz) begin if(count3==13499) begin count3<=0; clk_1KHz<=~clk_1KHz; end elsecount3<=count3+1;//计数 endalways@(clk_1