1 / 9
文档名称:

实验五--4选1多路复用器和4位比较器设计与仿真.docx

格式:docx   大小:1,457KB   页数:9页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

实验五--4选1多路复用器和4位比较器设计与仿真.docx

上传人:qiang19840906 2020/6/4 文件大小:1.42 MB

下载得到文件列表

实验五--4选1多路复用器和4位比较器设计与仿真.docx

相关文档

文档介绍

文档介绍:实验五4选1多路复用器和4位比较器设计与仿真班级信息安全一班姓名邓一蕾学号6指导老师袁文澹一、实验目的 Ⅱ仿真软件的基本操作,并用VHDL语言和逻辑图设计四选一多路选择器,4位比较器。二、,用逻辑图和VHDL语言设计四选一多路复用器;Ⅱ中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,(1)四选一多路选择器逻辑电路的原理4选1多路选择器,GN为使能端,AB为选择通道控制端,c0,c1,c2,。当GN为1时,y=0;当GN为0,AB=00时,Y为c0的值;当GN为0,AB=01时,Y为c1的值;当GN为0,AB=10时,Y为c2的值;当GN为0,AB=11时,Y为c3的值;(2)通过实验实现逻辑的原理InputsOutputsSelectStrobeGYBAXX10000C0010C1100C2110C3(1)4位比较器的逻辑电路图A0,B0,A1,B1,A2,B2,A3,B3为相比较数输入端,ALBI,AEBI,AGBI为级联输入端ALBO,AEBO,AGBO为比较输出端。2)通过实验实现逻辑的逻辑功能表为数据输入端控制输入端输出端A3A2A1A0B3B2B1B0AGBIALBIAEBIAGBOALBOAEBO1000000000010000001000000010110010000001001000110000010111101100000100110011100000101111111000010011101111000010000000001001000000000001001011111111001001111**********四、实验方法与步骤实验方法:采用基于FPGA进行数字逻辑电路设计的方法。采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是AlteraEPF10K20TI144_4的FPGA试验箱。:编写源代码。打开QuartusⅡ软件平台,点击File中得New建立一个文件。编写的文件名与实体名一致,点击File/Saveas以“.vhd”为扩展名存盘文件。2、按照实验箱上FPGA的芯片名更改编程芯片的设置。操作是点击Assign/Device,选取芯片的类型。建议选“Altera的EPF10K20TI144_4”3、编译与调试。确定源代码文件为当前工程文件,plier进行文件编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。4、波形仿真及验证。在编译成功后,点击Waveform开始设计波形。点击“insertthenode”,按照程序所述插入A0,A1,A2,A3,B0,B1,B2,B3,ALBI,AEBI,AGEBI,ALBO,AEBO,AGBO14个节点(A0,A1,A2,A3,B0,B1,B2,B3为输入节点,y为输出节点)。设置A0,A1,A2的输入波形,在仿真启动之前,需要设置两个重要的参数——EndTime和GridSize步骤:点击Edit->EndTime->;Edit-.>GridTime->,点击输入信号,然后选择左边的波形编辑工作栏中c

最近更新

2024年公务员(国考)之行政职业能力测验真题.. 325页

2024年四川省高职单招职业适应性测试题库加答.. 57页

2024年四川省高职单招职业适应性测试题库及完.. 55页

2024年山东省高职单招职业适应性测试题库及完.. 45页

2024年常德职业技术学院单招职业技能测试题库.. 57页

2024年河北艺术职业学院单招职业适应性测试题.. 75页

2024年河南省高职单招职业适应性测试模拟试题.. 55页

2024年河南省高职单招职业适应性测试模拟试题.. 57页

2024年河南省高职单招职业适应性测试题库一套.. 56页

2024年河南省高职单招职业适应性测试题库附参.. 56页

2024年湖南有色金属职业技术学院单招职业技能.. 75页

中医药护理相关ppt课件 33页

2024年重庆电子工程职业学院职业倾向性测试题.. 56页

一级建造师之一建公路工程实务题库1000道及参.. 302页

一级建造师之一建公路工程实务题库1000道(考.. 301页

一级建造师之一建港口与航道工程实务题库1000.. 305页

一级建造师之一建港口与航道工程实务题库1000.. 307页

安全员继续教育考试题库1000道含完整答案【夺.. 282页

演出经纪人考试题库1000道含答案【实用】 275页

2024年克孜勒苏职业技术学院单招职业适应性测.. 74页

综合解析河南淮阳县物理八年级下册期末考试章.. 19页

综合解析河南淮阳县物理八年级下册期末考试专.. 20页

高中生毕业生家庭情况调查表 2页

两个责任约谈记录表 2页

最新人教部编版四年级语文下册《母鸡》精品课.. 23页

四川省成都七中2016届高三地理上学期入学考试.. 9页

基于Authorware的通用型考试系统的设计与实现.. 5页

word图文混排优秀作品展示3 1页

《北京石景山万达广场 32页

中华人民共和国公司法(全文) 39页