1 / 29
文档名称:

行为模型.ppt

格式:ppt   大小:388KB   页数:29页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

行为模型.ppt

上传人:lily8501 2020/10/21 文件大小:388 KB

下载得到文件列表

行为模型.ppt

相关文档

文档介绍

文档介绍:VHDL教程第3章行为模型architecturestrofmux21aisbeginprocess(a,b,s)variableO,AA,AB:BIT;beginO:=nots;AA:=Oanda;AB:=sandb;y<=AAorAB;endprocess;endarchitecturestr;:ENTITY实体名IS[GENERIC(类属表);][PORT(端口表);]ENDENTITY实体名;:GENERIC([常数名:数据类型[:设定值]{;常数名:数据类型[:设定值]});被传递的类属参量(类属值或类属变量)与普通常数不同,常数只能从设计实体内部得到赋值,且不能再改变,而类属值可以由设计实体外部提供。【例3-1】LIBRARYIEEE;;ENTITYandnISGENERIC(n:INTEGER);--定义类属参量及其数据类型PORT(a:INSTD_LOGIC_VECTOR(n-1DOWNTO0);--用类属参量限制矢量长度c:OUTSTD_LOGIC);END;ARCHITECTUREbehavOFandnISBEGINPROCESS(a)VARIABLEint:STD_LOGIC;BEGINint:='1';FORIINa'LENGTH-1DOWNTO0LOOPIFa(i)='0'THENint:='0';ENDIF;ENDLOOP;c<=int;ENDPROCESS;END;【例3-2】LIBRARYIEEE;;ENTITYexnISPORT(d1,d2,d3,d4,d5,d6,d7:INSTD_LOGIC;q1,q2:OUTSTD_LOGIC);END;PONENTandn--调用例4-1中的元件声明GENERIC(n:INTEGER);PORT(a:INSTD_LOGIC_VECTOR(n-1DOWNTO0);c:OUTSTD_LOGIC);PONENT;BEGINu1:andnGENERICMAP(n=>2)--类属映射语句,定义类属变量,n赋值为2PORTMAP(a(0)=>d1,a(1)=>d2,c=>q1);u2:andnGENERICMAP(n=>5)--定义类属变量,n赋值为5PORTMAP(a(0)=>d3,a(1)=>d4,a(2)=>d5,a(3)=>d6,a(4)=>d7,c=>q2);END;,或称类属元件,这些元件在例化中特别方便,在改变电路结构或元件升级方面显得尤为便捷。其语句格式是:GENERICMAP(类属表)。类属映射语句与端口映射语句PORTMAP()具有相似的功能和使用方法,它描述相应元件类属参数间的衔接和传送方式,它的类属参数衔接(连接)表达方式也相同。(端口)说明PORT(端口名:端口模式数据类型;{端口名:端口模式数据类型});其中的端口名是设计者为实体的每一个对外通道所取的名字,端口模式是指这些通道上的数据流动方式。数据类型是指端口上流动的数据的表达格式或取值类型,VHDL要求只有相同数据类型的端口信号和操作数才能相互作用。端口是实体与外部环境传递信息的信号。其格式如下:端口模式:in:输入端口,数据只能通过此端口被读入实体out:输出端口,数据可通过此端口从实体向外流出,::功能与inout类似,但不能有多于一个的信号源,唯一能与其连接的信号为另一个buffer端口或至多有一个信号源的信号。输入数据时只允许回读输出的信号,即允许反馈。buffer回读的信号不是由外部输入的,而是由内部产生、:对数据类型、常数、信号、子程序和元件等元素的说明部分。描述实体逻辑行为的、以各种不同的描述风格表达的功能描述语句。以元件例化语句为特征的外部元件(设计实体)端口间的连接。[说明语句]BEGIN[功能描述语句]END[ARCHITECTURE][结构体名];、数据类型、常数、元件、函数和过程等加以说明。