1 / 37
文档名称:

毕业设计(论文)-基于FPGA的交通灯控制器设计.doc

格式:doc   大小:618KB   页数:37页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

毕业设计(论文)-基于FPGA的交通灯控制器设计.doc

上传人:endfrs 2020/12/16 文件大小:618 KB

下载得到文件列表

毕业设计(论文)-基于FPGA的交通灯控制器设计.doc

相关文档

文档介绍

文档介绍:成绩
基于FPGA的交通灯控制器设计

诚信声明
本人郑重声明:本人所呈交的毕业论文(设计),是在导师的指导下独立进行研究所取得的成果。毕业论文(设计)中凡引用他人已经发表或未发表的成果、数据、观点等,均已明确注明出处。除文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或在网上发表的论文。
特此声明。
论文作者签名:
日 期: 年 月

摘 要
交通灯控制器在城市交通监管中起着极其重要的作用。传统的交通灯控制器基本是通过单片机或者PLC实现。本文介绍基于FPGA技术和Quartus II开发平台实现十字路口交通灯控制器的的一种方案。利用VHDL硬件描述语言描述各模块程序,并在Quartus II环境下进行编译、仿真,生成顶层文件后下载在FPGA器件FLEX EPF10K10LC84上进行验证。验证结果表明,设计基本实现了交通灯控制器所要求的控制过程,包括倒计时时间显示功能、特殊状态控制功能和主、支干道的红、黄、绿灯交替显示功能,表明本文所述的的设计方案正确。
本文结合交通灯控制器的设计过程,简单介绍了硬件描述语言VHDL的结构模型和设计流程、 VHDL设计的优点及该语言在数字系统设计中的美好前景和重要地位。
关键词: 交通灯控制器;VHDL;FPGA; Quartus II
Abstract

Traffic light controller is playing an important role in the municipal transportation supervision. The traditional traffic light controller majority realizes through the monolithic integrated circuit or PLC. This article introduced one kind of plan which is based on FPGA and Quartus II programming environment to realize the crossroad street intersection traffic light controller. The programming language is VHDL hardware description language, which is widespread at present. The procedures of each model have been carried on the translation and simulation under the environment of Quartus II of Altera Corporation, and downloaded on FPGA component FLEX EPF10K10LC84. The traffic light controller has realized the controlling process basically, including the countdown time demonstrating the function, the special state control function and main, a yang or male principle red, yellow, and green light demonstrating the function alternately, the confirmation result indicates that the system's design proposal is accurate.
This article unified the traffic light controller's design process and introduced the structural model and the design cycle of hardware description language VHDL simply. It showed us the merit of the use of the VHDL and the magnificent prospect and the important position of this language in the number system design.
Keywords: traffic light controll

最近更新

春游作文300字 2页

2024年中职职业院校(学前教育及幼儿心理学)技.. 35页

2024年公共卫生防疫员:防控、调查、疫苗等技.. 26页

2024年刑法知识考试题库附答案(预热题) 54页

傲慢与偏见700字读后感 4页

2024年行政管理、人事管理等管理人员综合技能.. 34页

一级注册建筑师之建筑物理与建筑设备考试题库.. 131页

小学六年级下册数学期末测试卷附参考答案(培.. 6页

小学四年级上册道德与法治期末测试卷附参考答.. 6页

教科版三年级上册科学期末测试卷含答案(预热.. 6页

教科版二年级上册科学期末测试卷含答案(达标.. 7页

教科版五年级上册科学期末测试卷附参考答案【.. 7页

教科版四年级下册科学期末测试卷附答案(黄金.. 8页

教科版科学一年级上册第二单元《比较与测量》.. 6页

教科版科学一年级下册第二单元《动物》测试卷.. 7页

日常生活突发事故急救知识及处理方法考试题库.. 33页

沪教版五年级下册数学第二单元 正数和负数的初.. 6页

苏教版二年级下册科学期末测试卷及答案(历年.. 7页

苏教版六年级上册科学期末测试卷附参考答案【.. 8页

苏教版小学一年级下册科学期末测试卷及完整答.. 8页

苏教版小学四年级下册科学期末测试卷含答案(.. 8页

苏教版小学科学六年级上册期末测试卷附参考答.. 7页

苏教版科学四年级下册期末测试卷附完整答案(.. 8页

苏教版科学小学五年级上册期末测试卷及一套答.. 8页

西师大版六年级下册数学第三单元 正比例和反比.. 7页

部编版三年级上册道德与法治期末测试卷及答案.. 6页

部编版四年级上册道德与法治期末测试卷附完整.. 7页

(完整版)六年级下册数学期末测试卷(真题汇.. 7页

感觉世界8浙教版 21页

人教版新课标高一化学必修第一学期期末考试精.. 8页