1 / 36
文档名称:

数字逻辑实验报告.doc

格式:doc   页数:36页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

数字逻辑实验报告.doc

上传人:Alphago 2016/5/1 文件大小:0 KB

下载得到文件列表

数字逻辑实验报告.doc

相关文档

文档介绍

文档介绍:北京邮电大学课程设计报告课程设计名称数字逻辑与数字系统学院计算机指导教师班级班内序号学号学生姓名成绩 07407 06 071147 马钊 07407 14 071155 袁泉 07407 21 071162 杨晨笛 07407 29 071170 罗亚群课程设计内容教学目的: 掌握 isp LEVER 软件的使用方法, 掌握 isp 器件的使用方法,用 VHDL 进行较复杂逻辑电路的设计和调试,熟练掌握 isp 器件的下载方法。基本内容: 1. 简易电子琴 2. 简易频率计 3. 交通灯控制 4. 电子钟显示 5. 药片装瓶系统实验方法:先用 VHDL 进行软件编程,然后下载到 ISP 器件,进行硬件仿真实验。组员分工:详见各实验报告实验分工。学生课程设计报告(附页) 课程设计成绩评定遵照实践教学大纲并根据以下四方面综合评定成绩: 1 、课程设计目的任务明确,选题符合教学要求,份量及难易程度 2 、团队分工是否恰当与合理 3 、综合运用所学知识,提高分析问题、解决问题及实践动手能力的效果 4、是否认真、独立完成属于自己的课程设计内容, 课程设计报告是否思路清晰、文字通顺、书写规范评语:成绩: 指导教师签名: 年月日注:评语要体现每个学生的工作情况,可以加页。数字逻辑与数字系统课程设计 2 目录实验一:简易电子琴................................................................................................................................ 3 实验二:简易频率计................................................................................................................................ 6 实验三:交通灯控制器设计.................................................................................................................. 11 实验四:电子钟设计.............................................................................................................................. 17 实验五:药片装瓶系统设计.................................................................................................................. 26 附:数字逻辑与数字系统课程设计心得体会...................................................................................... 34 数字逻辑与数字系统课程设计 3 实验一:简易电子琴一、实验目的①掌握较复杂逻辑的设计和调试。②掌握用 VHDL 语言设计数字逻辑电路。③掌握 ispLEVER 软件的使用方法。④掌握 ISP 器件的使用。⑤用途: 有电子琴的基本功能,可弹奏出简单的乐曲。二、实验所用器件和设备在系统可编程逻辑器件 ISP1032 一片示波器一台万用表或逻辑笔一只 TEC-5 实验系统,或 TDS-2B 数字电路实验系统一台三、实验原理用 VHDL 设计一个简易电子琴。有8 个按键,每键代表一个音符,1 、2 、3 、4 、5 、6 、7 、i 各音符按一定的顺序排列,须符合电子琴的按键排列顺序。每个音符对应特定的频率的方波信号。方波信号由多模计数器产生。方波信号占空比可改变音量大小。图 1-1 简易电子琴原理图四、设计方案输入的主频=50KHz ,不同的键产生不同频率的输出,输出由多模计数器产生。多模计数器: M (模) =50000/f 音符(C)1234567i 频率(Hz) 262 294 330 349 392 440 494 523 模 191 170 151 143 128 114 101 97 数字逻辑与数字系统课程设计 4 多模计数器输出波形: 二分频计数器: 音符(C) 1234567i 模 95 84 75 71 63 56 50 47 (改变音量使输出信号占空比为 50% ) 五、代码实现 L IBRARY ieee; USE . all; USE