1 / 10
文档名称:

同步FIFO与异步FIFO模板.doc

格式:doc   大小:82KB   页数:10页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

同步FIFO与异步FIFO模板.doc

上传人:读书之乐 2021/1/9 文件大小:82 KB

下载得到文件列表

同步FIFO与异步FIFO模板.doc

文档介绍

文档介绍:同时FIFO之VHDL描述
同时FIFO意思是说FIFO读写时钟是同一个时钟, 不一样于异步FIFO, 异步FIFO读写时钟是完全异步。 同时FIFO对外接口包含时钟, 清零, 读请求, 写请求, 数据输入总线, 数据输出总线, 空和满信号。 下面分别对同时FIFO对外接口信号作一描述:
1.  时钟, 输入, 用于同时FIFO读和写, 上升沿有效;
2.  清零, 输入, 异步清零信号, 低电平有效, 该信号有效时, FIFO被清空;
3.  写请求, 输入, 低电平有效, 该信号有效时, 表明外部电路请求向FIFO写入数据;
4.  读请求, 输入, 低电平有效, 该信号有效时, 表明外部电路请求从FIFO中读取数据;
5.  数据输入总线, 输入, 当写信号有效时, 数据输入总线上数据被写入到FIFO中;
6.  数据输出总线, 输出, 当读信号有效时, 数据从FIFO中被读出并放到数据输出总线上;
7.  空, 输出, 高电平有效, 当该信号有效时, 表明FIFO中没有任何数据, 全部为空;
8.  满, 输出, 高电平有效, 当该信号有效时, 表明FIFO已经满了, 没有空间可用来存贮数据。
使用VHDL描述FIFO将以上面接口为基础, 而且能够参数化配置FIFO宽度和深度。 先把对外接口描述出来吧。
---------------------------------------------------------------------------------------------------------
-- Designer : skycanny
-- Date : -1-29
-- Description : Synchronous FIFO created by VHDL
library ieee;
use ;
use ;
use ;
entity sfifo is
generic(width : positive
depth : positive
);
port
(
clk : in std_logic;
rst : in std_logic;
wq : in std_logic;
rq : in std_logic;
data        : in std_logic_vector(width - 1 downto 0);
q : in std_logic_vector(width - 1 downto 0);
empty : out std_logic;
full : out std_logic
);
end entity sfifo;

下面框图关键描述同时FIFO内部结构, 画出框图有利于对电路结构了解, 一样也有利于RTL代码编写 :
异步FIFO
FIFO (优异先出队列)是一个在电子系统得到广泛应用器件, 通常见于数据缓存和用于容纳异步信号频率或相位差异。 FIFO实现通常

最近更新

2025年宁夏工商职业技术学院单招职业技能测试.. 61页

2025年人教版四年级数学下册期中考试及答案【.. 6页

2025年现代伤感情诗5首 5页

2025年人教版四年级下数学第一单元四则运算练.. 13页

2025年宁夏石嘴山市单招职业倾向性测试题库含.. 61页

2025年宁夏职业技术学院单招职业倾向性测试题.. 64页

2025年宁夏职业技术学院单招职业倾向性测试题.. 63页

2025年人教版六年级数学下学期第二单元《百分.. 6页

2025年宁夏职业技术学院单招职业适应性测试题.. 60页

2025年宁夏职业技术学院单招职业适应性测试题.. 63页

2025年宁夏葡萄酒与防沙治沙职业技术学院单招.. 62页

2025年宁夏葡萄酒与防沙治沙职业技术学院单招.. 61页

2025年宁夏财经职业技术学院单招职业倾向性测.. 62页

2025年宁夏财经职业技术学院单招职业倾向性测.. 63页

2025年一年级英语语法知识点归纳 9页

交通银行跨境贸易融资合同 6页

2025年宁夏银川市单招职业适应性测试题库带答.. 61页

2025年宁夏银川市单招职业适应性测试题库(真.. 60页

电压电流产生的原因 34页

2025年一年级班级安全工作总结 13页

薄荷麝香草酚搽剂在抗老化护肤产品中的应用研.. 29页

2025年宁德职业技术学院单招职业技能测试题库.. 61页

2025年南充嘉陵特大桥施工方案 33页

2025年牡丹江大学单招职业技能测试题库有完整.. 61页

2025年海南省海口市高考模拟(二)物理高频考点.. 5页

违纪违法典型案例对照剖析材料 5页

软件开发工程师面试题(基础知识) 5页

水彩画技法与赏析演示文稿 219页

林业代码表(共7页) 7页

古瑞瓦特逆变器说明书 2页