1 / 7
文档名称:

组合逻辑电路-血型匹配电路.doc

格式:doc   大小:179KB   页数:7页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

组合逻辑电路-血型匹配电路.doc

上传人:精品小课件 2021/1/12 文件大小:179 KB

下载得到文件列表

组合逻辑电路-血型匹配电路.doc

相关文档

文档介绍

文档介绍:组合逻辑电路
——血型匹配电路
题目:
人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。)
图1
分析:
人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。
题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。
我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。
做出逻辑变量ABCD的卡诺图如下图所示:
DC
BA 00 01 11 10
1
0
0
1
0
1
0
1
1
1
1
1
0
0
0
1
00
01
11
10
由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D放到数据输入端我们得到卡诺图2
m0 m1 m3 m2 m6 m7 m5 m4
D ABC 000 001 011 010 110 111 101 100
1
0
1
0
0
1
1
0
1
1
1
1
0
1
0
0
0
1

D0=1 D1=D D3=1 D2=D D6=0 D7=1 D5=D D4=0
由此我们可以的做出仿真电路:
仿真验证:
当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确
当BA=01,DC=00时:可以看见指示灯灭,输出低电平,输出正确
当BA=10,DC=00时:可以看见指示灯灭,输出低电平,输出正确
当BA=11,DC=00时:可以看见指示灯亮,输出高电平,输出正确
当BA=00,DC=01时:可以看见指示灯灭,输出低电平,输出正确
当BA