1 / 28
文档名称:

eda技术实验教案.docx

格式:docx   大小:159KB   页数:28页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

eda技术实验教案.docx

上传人:sssmppp 2021/2/15 文件大小:159 KB

下载得到文件列表

eda技术实验教案.docx

相关文档

文档介绍

文档介绍:EDA技术实验教案
实验一 1位全加器原理图输入设计
一、实验目的
1、 熟悉MAX+plusII软件的基本使用方法。
2、 熟悉GW48—ES EDA实验开发系统的基本使用方法。
3、 了解原理图输入设计方法。
二、实验内容
设计并调试好一个1位二进制全加器,并用GW48-ES EDA实验开发系 统(拟采用的实验芯片的型号为EPF10K20TC144-4或EP1K30TC144-3)进 行系统仿真、硬件验证。设计1位二进制全加器时要求先用基本门电路设计一个 1位二进制半加器,再由基本门电路和1位二进制半加器构成1位二进制全加器。
三、实验条件
1、 开发条件:MAX+plusII
2、 实验设备:GW48-ES EDA实验开发系统、联想电脑
3、 拟用芯片:EPF10K20TC144-4 或 EP1K30TC144-3
四、实验设计
半加器(h_adder. gdf)
她2
imp or
XNOR
F」* 冲 EZ二二> SO
yCc
实验结果
半加器仿真波形
a
b
SO
co
半加器引脚锁定
实验芯片:EPF10K20TC144-4 选用彳
険式:模式5
设计实体I/O标识
I/O来源/去向
结构图上的信号名
芯片引脚号
a
键1
PIOO
8
b
键2
PIO1
9
so
二极管D1
PIO8
20
co
二极管D2
PIO9
21
全加器仿真波形
ain
bin cin sum cout
J
n
全加器引脚锁定
实验芯片:EPF10K20TC144-4 选用彳
険式:模式5
设计实体I/O标识
I/O来源/去向
结构图上的信号名
芯片引脚号
ain
键1
PIOO
8
bin
键2
PIO1
9
cin
键3
PIO2
10
sum
二极管D1
PIO8
20
cout
二极管D2
PIO9
21
全加器真值表
ain
0
1
0
1
0
1
0
1
bin
0
0
1
1
0
0
1
1
cin
0
0
0
0
1
1
1
1
sum
0
1
1
0
1
0
0
1
cout
0
0
0
1
0
1
1
1
实验二1位全加器VHDL文本输入设计
一、实验目的
1、 熟悉MAX+plusII软件的基本使用方法。
2、 熟悉GW48-ES EDA实验开发系统的基本使用方法。
3、 了解VHDL文本输入设计方法。
二、实验内容
设计并调试好一个1位二进制全加器,并用GW48-ES EDA实验开发系 统(拟采用的实验芯片的型号为EPF10K20TC144-4或EP1K30TC144-3)进 行系统仿真、硬件验证。设计1位二进制全加器时要求先设计一个或门和一个1 位二进制半加器,再由或门和1位二进制半加器构成1位二进制全加器。
三、实验条件
1、 开发条件:MAX+plusll
2、 实验设备:GW48-ES EDA实验开发系统、联想电脑
3、 拟用芯片:EPF10K20TC144-4 或 EP1K30TC144-3
四、实验设计
--或门逻辑描述()
LIBRARY IEEE;
USE ;
ENTITY or2a IS
PORT (a, b :IN STD_LOGIC;
c : OUT STD_LOGIC );
END ENTITY or2a;
ARCHITECTURE one OF or2a IS BEGIN
c <= a OR b ;
END ARCHITECTURE one;
-半加器描述()
LIBRARY IEEE;
USE ;
ENTITY h_adder IS
PORT (a, b : IN STD_LOGIC;
co, so : OUT STD_LOGIC);
END ENTITY h_adder;
ARCHITECTURE fh 1 OF h_adder is
BEGIN
so <= NOT(a XOR (NOT b));
co <= a AND b ;
END ARCHITECTURE fill;
-1位二进制全加器顶层设计描述()
LIBRARY IEEE;
USE ;
ENTITY f_adder IS
PO