1 / 8
文档名称:

学verilog几个阶段.docx

格式:docx   大小:15KB   页数:8页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

学verilog几个阶段.docx

上传人:changjinlai 2021/5/8 文件大小:15 KB

下载得到文件列表

学verilog几个阶段.docx

相关文档

文档介绍

文档介绍:学****Verilog 必走的 3 个阶段
Verilog , 学****br/>本帖最后由 fpgaw 于 2010-5-3 06:16 编辑
学****Verilog 必走的 3 个阶段
//****************************************************************
// 入门篇 : (秋干勿燥 ,冬去春来 )
//****************************************************************
01. 建立项目
02. Verilog
. nand/nor/and/or;
. assignment;
. always;
04. 选设 device
05. Settings:
. 加减文件 ;
. 设置 top module 文件 ;
. 设置 classic timing 时序仿真主时钟 fMAX;( 可以不设 )
06. 编译 (直接选按钮 )
07. 仿真 (直接选按钮 )
. 创建 .vwf 波形文件 ,
. 添加 netlist 节点 ,
. 设置激励波形 ;
. 设置仿真波形文件
. 阅读并判断仿真结果的正确性
// 初级篇 : ( 固知其然 , 方得真经 )
setting:
. 选择并使用 functional / timing 仿真 ;
. 选择时序分析方法 : Classic timing / TimeQuest;
. 阅读在线帮助 ,选择其他设置 ;
. 阅读编译报表 , 理解 Tsu, Tpd, Th, Tco
. 设置各种独立时钟
pin assignment
. 各输入输出引脚的 location 分配 ;
. 接入但未用引脚的特别处理 ;
. 多电平的选设 (若有多为 io 的供电 );
阅读并理解项目文件夹下各种扩展名文件的基本功能 ;
3a). *.v, *.qpf, *.pin, *.vwf, *.qof
3b). 浏览 *.qsf, 完全理解各部分的意义 .
3c). 掌握 *.sof 文件的生成方法 , 了解其它各种生成文件的使用场合
仿真
. 生成功能仿真网表 .
. ctrl + 滚轮缩放 或 ctrl+shift+space 缩放 ;
. ctrl + alt + space 全屏切换 ;
. 处理仿真中 inout 端口的时钟冲突 warning.
Verilog:
. 充分理解 reg 与 output 的相关性和区别 .
. 掌握 dff 的直接引用方法 .
. 充分了解可综合语句与不可综合语句的区别及其不同使用场合
. 阅读
. inout 端口的写法 ;
. instantiate 参数带点引用方法 ;
. function, task 的使用 ,其与 module 引用的区别 .
. 优化程序 ,设法消除时序仿真中的毛刺 .
programmer
. Jtag 驱动与设置 ,
. Programmer 内 check 项选择
. 熔丝 / 加密 的使用方法与保护程度 .
// 中级篇 : ( 四海朋彼 ,可游

最近更新

2024年河南省高职单招职业适应性测试题库含答.. 57页

一级建造师之一建公路工程实务题库1000道及参.. 300页

一级建造师之一建工程法规题库1000道及一套完.. 332页

一级建造师之一建港口与航道工程实务题库1000.. 306页

安全员继续教育考试题库1000道及参考答案【精.. 280页

演出经纪人考试题库1000道【预热题】 275页

2024年公务员(国考)之行政职业能力测验真题.. 325页

2024年山东省高职单招职业适应性测试题库附完.. 47页

2024年重庆电子工程职业学院职业倾向性测试题.. 55页

一级建造师之一建公路工程实务题库1000道附参.. 302页

一级建造师之一建港口与航道工程实务题库1000.. 307页

演出经纪人考试题库1000道带答案(满分必刷).. 274页

家用电器为什么采用并联形式连接在电源上 28页

小学花式跳绳教学内容设计 3页

宜昌供电公司电力安全施工作业票实施细则 22页

小学生简单写字的教学设计 3页

2024年xx学院职业倾向性测试题库及参考答案(.. 37页

2024年公务员(国考)之行政职业能力测验真题.. 327页

2024年单招职业技能测试题库(b卷)word版 34页

2024年单招职业适应性测试题200道附参考答案【.. 73页

小学数学延时服务教学设计 3页

小学教育教育教学设计稿 3页

2024年山东省高职单招职业适应性测试题库及参.. 43页

小学教学实验设计因素分析 3页

2024年河南省高职单招职业适应性测试模拟试题.. 56页

2024年河南省高职单招职业适应性测试题库精品.. 58页

舞蹈的市场行业分析 27页

四年级语文下册53天天练课堂笔记电子版 2页

北京科技馆一日游作文 12页

《线性代数》课程思政教学设计案例 7页