1 / 113
文档名称:

基于vhdl的复杂可编程逻辑器件(cpld)应用技术.docx

格式:docx   大小:106KB   页数:113页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

基于vhdl的复杂可编程逻辑器件(cpld)应用技术.docx

上传人:阿哈哈哈吧哈哈哈 2021/5/12 文件大小:106 KB

下载得到文件列表

基于vhdl的复杂可编程逻辑器件(cpld)应用技术.docx

相关文档

文档介绍

文档介绍:基于VHDL的复杂可编程逻辑器件(CPLD)应用技术
绪论
CPLD/FPGA/ASIC诞生与发展概述
一.常见英文缩写解释(按字母顺序排列):
ASIC: Application Specific Integrated Circuit. 专用IC
CPLD: Complex Programmable Logic Device. 复杂可编程逻辑器件
EDA: Electronic Design Automation. 电子设计自动化
FPGA: Field Programmable Gate Array. 现场可编程门阵列
GAL: Generic Array Logic. 通用阵列逻辑
HDL: Hardware Description Language. 硬件描述语言
IP: Intelligent Property. 智能模块
PAL: Programmable Array Logic. 可编程阵列逻辑
RTL: Register Transfer Level. 寄存器传输级(描述)
SOC: System On a Chip. 片上系统
SLIC: System Level IC. 系统级IC
VHDL: Very high speed integrated circuit Hardware Description Language.
超高速集成电路硬件描述语言
二.硬件描述语言的诞生与发展:

人们 为了把复杂的电子电路用文字文件方式描述并保存下来,方便他人了解电路内容,就诞生了最初的硬件描述语言。经过多种硬件描述语言诞生与淘汰的演变,当前国内外普遍使用的主流硬件描述语言只有两种:VHDL和Verilog HDL。还有ABEL和AHDL等。

以ADA语言为基础,由美国国防高级研究计划局(DARPA)开发。1985年完成第一版,1987年成为IEEE标准(IEEE1076),1993年增修为IEEE1164标准并使用至今。1996年又加入电路合成标准程序和规格,。美国国防部规定其为官方ASIC设计语言。
1995年,中国国家技术监督局出版的《CAD通用技术规范》中,推荐VHDL为我国硬件描述语言的国家标准。
HDL:
以C语言为基础,由GDA(Gateway Design Automation)公司的Phil Moorby创建于1983年。1989年CADENCE公司收购了GDA公司,拥有了Verilog HDL的独家专利。于1990年正式发表了Verilog HDL,并成立OVI(Open Verilog International)组织推进其发展。1995年CADENCE公司放弃了Verilog HDL专利,使之成为IEEE标准(IEEE1364)。
HDL的比较:
不存在优劣之分。相同电路用这两种硬件描述语言分别编码,长度也大体相同。现在常用的各种仿真/综合工具均为二者通用。在日本,VHDL用户略多于Verilog HDL,例如:NEC,日立,福田电子,丸文等公司通常****惯使用VHDL;而松下,CASIO等公司****惯使用Verilog HDL。和****惯有关,一个公司通常****惯于使用其中一种。
VHDL与其他HDL比较
l VHDL
—“告诉我你想要电路做什么,我给你提供能实现这个功能的硬件电路”
l VerilogHDL
—和VHDL类似
l ABEL、AHDL
—“告诉我你想要什么样的电路,我给你提供这样的电路”

①往往片面夸大某一种硬件描述语言的长处。
②书中的例子尽管都声称通过了仿真合成验证,但仍常见一些语法错误,合成时会出现“错误”或“警告”。请大家不要过分相信教科书上的语法。
③脱离实际应用,仅仅介绍最基本的概念和语法规定,虽有较复杂的例子但却少有解释,难于理解。对实际应用中至关重要的编码技巧和避免出错的注意事项,却往往只字