1 / 5
文档名称:

3-8译码器的设计实验报告.doc

格式:doc   大小:226KB   页数:5页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

3-8译码器的设计实验报告.doc

上传人:小辰GG 2021/7/18 文件大小:226 KB

下载得到文件列表

3-8译码器的设计实验报告.doc

文档介绍

文档介绍:: .
EDA实验报告书
姓名 XXX 学号 XXXXXXX 实验时间
课 题 名 称
3-8译码器的设计


1、通过一个简单的3 — 8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、初步掌握VHDL语言的常用语句。

3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。

设计一个3~8译码器使其满足如下真值表:
3-8译码器真值表
选通输入
二进制 输入
译码输出
SO
S1
S2
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
X
1
X
X
X
X
1
1
1
1
1
1
1
1
X
X
1
X
X
X
1
1
1
1
1
1
1
1

0
X
X
X
X
X
1
1
1
1
1
1
1
1
1
0
0
0
0
0
0
1
1
1
1
1
1
1

1
0
0
0
0
1
1
0
1
1
1
1
1
1
1
0
0
0
1
0
1
1
0
1
1
1
1
1

1
0
0
0
1
1
1
1
1
0
1
1
1
1

1
0
0
1
0
0
1
1
1
1
0
1
1
1
1
0
0
1
0
1
1
1
1
1
1
0
1
1
1
0
0
1
1
0
1
1
1
1
1
1
0
1
1
0
0
1
1
1
1
1
1
1
1
1
1
0
、下载。






计 原 理 图 及 源 程 序
1、 根据74138的功能,当SO=1, S1=O, S2=0时译码器处于工作状态。否则 译码器被禁止,所有输出端被封锁在高电平。由真值表画出卡诺图,再写出对 应表达式,再画出电路。
2、 使用VHDL语言时,应注意头文件以及各种输入的格式,使用IF语句, CASE语句设计电路,最后再用END语句结束程序。
LIBRARY IEEE;
USE LOGIC ;
USE LOGIC ;
ENTITY SA IS
PORT(
DIN STD_LOGIC_VECTOR(2 DOWNTO 0); SO,S1,S2:IN ;
Y:OUT