1 / 2
文档名称:

VHDL实验报告3-8译码器(哦~).doc

格式:doc   大小:174KB   页数:2页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

VHDL实验报告3-8译码器(哦~).doc

上传人:1542605778 2021/10/30 文件大小:174 KB

下载得到文件列表

VHDL实验报告3-8译码器(哦~).doc

相关文档

文档介绍

文档介绍:上机实验报告
实验题目
班级
姓名
学号
指导教师
EDA软件QuartusII

实验目的与要求:
1、通过3:8译码器的设计,熟悉ALTERA公司EDA设计工具软件QuartusII 。
2、熟悉原理图输入及仿真步骤。
3、掌握组合逻辑电路的静态测试方法。
4、初步了解可编程器件设计的全过程。
实验步骤与内容:
创建工程。
新建文件夹。
画出正确的原理图,保存,编译。
波形仿真,分配引脚,编译。
下载到试验箱,进行功能验证。
(原理图及仿真的波形界面在备注)
遗留问题与说明:
对于软件操作流程不熟悉。
备注:
实验原理图:
仿真波形图: