1 / 4
文档名称:

2016EDA实验报告D触发器的设计(共4页).docx

格式:docx   大小:73KB   页数:4页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

2016EDA实验报告D触发器的设计(共4页).docx

上传人:rsqcpza 2022/4/10 文件大小:73 KB

下载得到文件列表

2016EDA实验报告D触发器的设计(共4页).docx

相关文档

文档介绍

文档介绍:精选优质文档-----倾情为你奉上
精选优质文档-----倾情为你奉上
专心---专注---专业
专心---专注---专业
精选优质文档-----倾情为你奉上
专心---专注---专业
ALTERA FPGA设计之带复位的D触发精选优质文档-----倾情为你奉上
精选优质文档-----倾情为你奉上
专心---专注---专业
专心---专注---专业
精选优质文档-----倾情为你奉上
专心---专注---专业
ALTERA FPGA设计之带复位的D触发器_基本实验
Quartus II SP1 + Modelsim SE-64 + Cyclone
【【】为必须填写。
姓名学号】
实验目的:
熟悉VHDL触发器的设计;
了解FPGA基本结构中的触发器。
熟悉VHDL test bench(测试平台/测试激励)的设计;
熟练掌握Altera FPGA的开发环境、设计步骤和流程。
实验形式:边做实验边写实验报告,实验完成后即提交实验报告。
【描述实验中的设计】
用 IF 语句设计 D 触发器, 实现带复位功能的 D触发器。
【实验中设计实现的HDL代码】
library ieee;
use ;
entity exp1 is
port(R:in std_logic;
clk:in std_logic;
D:in std_logic;
Q:out std_logic;
Q0:out std_logic);
end exp1;
architecture arch_exp1 of exp1 is
signal a:std_logic;
begin
process(clk,R)
begin
if(clk'event and clk='1')then
if(R='0')then
a<='0';
else
a<=D;
end if;
精选优质文档-----倾情为你奉上
精选优质文档-----倾情为你奉上
专心---专注---专业
专心---专注---专业
精选优质文档-----倾情为你奉上
专心---专注---专业
end if;
end process;
Q<=a;
Q0<=not a;
end arch_exp1;
【实验中用于仿真的HDL test bench代码】
library ieee;
use ;
entity exp1_tb is
end exp1_tb;
architecture arch_Etb of exp1_tb is
component exp1 is
port(R:in std_logic;
clk:in std_logic;
D:in std_logic;
Q:out std_logic;
Q0:out std_logic);
end