1 / 115
文档名称:

altera fpga的设计流程.ppt

格式:ppt   大小:3,557KB   页数:115页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

altera fpga的设计流程.ppt

上传人:落意心 2022/5/28 文件大小:3.47 MB

下载得到文件列表

altera fpga的设计流程.ppt

相关文档

文档介绍

文档介绍:altera fpga的设计流程
适用于教师试讲、学校演讲、教学课件、说课大赛
目标
掌握FPGA的标准设计流程和工具
用Modelsim进行功能级仿真并诊断RTL Code
用Quartus II做设计综合和布局布线
用FPGA是不是可以综合的等等,目前HDL语言标准中仍然有不能被综合的语法,这些要尤其注意。
18
功能仿真
对逻辑功能进行验证:
不考虑时序问题,认为门都是理想门,没有延时;
详细一些的可以认为门延时都是一样,而忽略互连线的延时。
RTL代码
逻辑仿真器
调用模块的
行为仿真模型
测试数据
测试程序
(test bench)
19
逻辑综合
通过映射和优化,把逻辑设计描述转换为和物理实现密切相关的工艺网表:
RTL代码
逻辑综合器
调用模块的
黑盒子接口
设置综合目标和约束条件
EDIF网表
(netlist)
HDL网表
(netlist)
20
布局布线
将综合生成的网表,在FPGA内部进行布局布线的设计,并最终生成用于下载的二进制配置文件;
逻辑综合器
EDIF网表
(netlist)
FPGA厂家工具
调用模块的
综合模型
设置布局布线约束条件
HDL网表
(netlist)
SDF文件
(标准延时格式)
下载/编程文件
21
时序逼近
时序逼近流程是一个推荐的设计方法可以帮助设计满足它们的时序目标
22
门级仿真
FPGA厂家工具
HDL
网表
(netlist)
SDF文件
(标准延时格式)
逻辑仿真器
测试数据
FPGA基本单元仿真
模型
测试程序
(test bench)
23
SDF 文件
Industry Standard Format
Automatically Generated When You Compile a Design (Output File with Extension .sdo)
Contain Timing Information
Device Delays
Interconnect Delays
Port Delays
Path Delays
Timing Checks
Can be Back-annotated to a Design for Accurate Model of Delays
24
物理验证
将生成的二进制配置文件下载到FPGA上,进行实际的功能和时序的测试;
Altera (.sof文件) Xlinx (.bit 文件),
由于FPGA常常是作为整个系统一部分,因此还应该将FPGA放到整个系统中进行验证,整个系统工作正常,才算完成了开发过程。
25
用ModelSim 仿真
26
内容
ModelSim产品简介
ModelSim的用途
用ModelSim进行功能仿真
用ModelSim进行时序仿真
27
ModelSim产品简介(1)
由Mentor Graphics公司 的子公司Model Tech公司开发
工业上最通用的仿真器之一
支持Verilog 和 VHDL仿真
OEM版本允许Verilog仿真 或者 VHDL 仿真
ModelSim/SE
首要的版本,能混合仿真Verilog 和 VHDL
ModelSim/XE
OEM版,包含Xilinx公司的库文件
ModelSim/AE
OEM版,包含Altera公司的库文件
28
ModelSim产品简介(2)
ModelSim 用户界面
main主窗口:
structure结构窗口
process处理窗口:
Signal&variable信号
和变量窗口
dataflow数据流窗口
source源窗口
Wave&list
波形和列表窗口
29
ModelSim的用途
RTL 仿真(功能仿真)
验证设计HDL的基本逻辑功能,属于最基本的验证
仿真速度最快
门级仿真
采用综合软件综合后生成的门级网表
不带有布局布线后产生的时序信息
时序仿真(后仿真)
在门级仿真的基础上加入时延文件“.sdf”文件
速度很慢,需要很长时间
30
用ModelSim作功能仿真(1)
ModelSim 的实现方法:
交互式的命令行 (Cmd)
利用控制台的命令行
用户界面 (UI)
能接受菜单输入和命令行输入
批处理模式
从DOS或UNIX命令行运行批处理文件
31
用ModelSim作功能仿真(2)
基本仿真步骤:
1  建立库
2  映射库到物理目录
3  编译源代码
- 所有的HDL代码必须被编译
4  启动仿真器
5  执行仿真
32
用ModelSi

最近更新

高校青年教师信仰问题研究——以湖南省高校为.. 2页

高效半导体光催化材料的制备及其光催化性能研.. 2页

高压栅极驱动芯片可靠性研究开题报告 2页

高一英语学习不同水平者之间自主学习能力的比.. 2页

香豆素型和8-羟基喹啉型发光材料的合成及性能.. 2页

飞秒激光小切口透镜取出术矫正近视临床研究的.. 2页

2024年曹文轩《根鸟》读书笔记 14页

2024年暑期综合实践活动方案 12页

西餐工艺与实训---实操篇课件 140页

鞍山供电公司通信网管监控系统设计的开题报告.. 2页

面向会议场景的个人通信服务管理系统设计与实.. 2页

2024年晚安朋友圈说说(15篇) 46页

心肌梗死心电图再认识 55页

零能耗太阳能住宅原型设计与技术策略研究的开.. 2页

骨科感染的治疗及预防-课件 24页

随机激励下的机翼盒段损伤检测方法研究的开题.. 2页

阳离子表面活性剂微乳液相行为及热力学性质的.. 2页

2024年春天来了五年级作文 14页

长沙市智障人士就业支持研究的开题报告 2页

长江口和东海陆架悬浮颗粒态硅的研究的开题报.. 2页

哈师大附中2024届高三第三次模拟考试英语试卷.. 11页

房屋建筑自然灾害综合风险普查工作实施方案 9页

医院培训课件:《压力性损伤的管理》 47页

小学民族团结评选实施方案 5页

魏书生的教育思想研究 2页

浅谈如何当好基层副局长[1] 6页

3D包点和值投注表 2页

第四度空间-赵荣基牧师 12页

H8 WIFI 高清1080P移动充电宝摄像机、移动电源.. 14页

人宇特能讲座--张维祥 415页