1 / 32
文档名称:

EWB使用说明(数电).ppt

格式:ppt   大小:1,847KB   页数:32页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EWB使用说明(数电).ppt

上传人:我是药神 2022/6/9 文件大小:1.80 MB

下载得到文件列表

EWB使用说明(数电).ppt

文档介绍

文档介绍:
文档名
图2-6为常用虚拟仪表库。
图2-7 为常用部分工具图标

1.创建电路 (1)元器件操作
当前正在编辑的数字信号地址。
CURRENT:显示当前正在输出的数字信号地址。
INITIAL,FINAL:分别用于编辑和显示输出数字信号的首地址和末地址。数字信号发生器被激活后,字信号按一定的规律逐行从底部的输出端送出,同时在面板的底部,对应于各输出端的16个小圆圈内实时显示输出数字信号各个位的值。
:STEP(单步)、BURST(单帧)、CYCLE(循环)三种方式。
STEP;单击此按钮一次,字信号输出一条。这种方式用于对电路进行单步调试。
BURST:按下此按钮,从首地址开始至末地址连续逐条输出字信号
CYCLE:按下此按钮,则循环不断的进行BURST方式输出,
BUSRT和CYCLE情况下输出节奏有输出频率的设置决定。
选中某地址的字信号后,按下BREAKPOINT按钮,则该地址被设为中断点。BURST输出方式时,当运行到该地址时暂停。再单击PAUSE或F9则恢复输出。
5. 触发方式:当选择INTERNAL(内部)触发方式时,字信号的输出直接由输出方式按钮启动。当选择EXTERNAL(内部)触发方式时
则需要接入外触发脉冲信号,并定义“上升沿触发”或“下降沿触发”。然后单击输出方式按钮,待出发脉冲到来时才启动输出。
6. PATTERN对话框: 按下PATTERN按钮弹出如图所示对话框:
清除字信号编辑区
打开字信号文件
字信号文件存盘
递增编码
递减编码
右移编码
左移编码
(6)逻辑分析仪(Logic Analyzer)
逻辑分析仪可以同步记录和显示16路逻辑信号,可用于对数字逻辑信号的高速采集和时序分析。
逻辑分析仪图标和面板如图:
16路输入信号端子
外部时钟输入
时钟控制输入
触发控制输入
读数指针
逻辑信号波形显示区
显示预触发波形
复位
指针处的时间读数
指针处的逻辑读数
采样时钟设置
触发方式设置
时间刻度设置

图标右侧从上至下16个端口是逻辑分析仪的输入信号端口,使用时连接到电路的测量点。面板图中“CLOCK”下方的“SET…”按钮为“采样时钟设置”按钮。当点击“SET…”按钮后,将打开“CLOCK SETUP”(采样时钟设置)对话框。如果将对话框中的“CLOCK MODE”项设置为“EXTERNAL”时,图标中的“外时钟输入”端口必须接一外部时钟,否则逻辑分析仪不工作。
对逻辑分析仪面板图说明
面板图最左侧16个小圆圈代表16个输入端。“0”和“1”代表当前各路输入的逻辑值。
被采集的16路信号以方波形式显示在屏幕上。当改变输入信号连接导线的颜色时,显示波形的颜色立即改变。
面板上“CLOCK PER DIVISION”用于设置时间基线刻度。当波形拥挤看不清楚时,可将时间基线设置低一些。
采样时钟设置说明
点击“CLOCK”下方的“SET…”按钮,便打开“CLOCK SETUP”(采样时钟设置对话框),如下图
内部时钟频率设置
时钟模式选择
选择外部时钟
选择内部时钟
上跳沿有效
下跳沿有效
时钟限制
触发前数据点数
触发后数据点数
触发门限电压设置
“CLOCK EDGE”表示在时钟的上升沿(POSITIVE)或下降沿(NEGATIVE)采样。
“CLOCK MODE”表示选择内部时钟(INTERNAL)或外部时钟(EXTERNAL)。当采样内部时钟时,可对本对话框中“INTERNAL CLOCK RATE”项进行设置,以改变采样时钟频率。
“CLOCK QUALIFIER”表示时钟限制,该位设置为1,表示时钟控制为1时开放时钟,逻辑分析仪可以进行波形采集。若该位设置为0,表示时钟控制输入为0时开放时钟;若该位设置为X,表示时钟总是开放,不受时钟控制输入的限制。
对话框下方的“PRE-TRIGGER SAMPLES”、 “POST-TRIGGER SAMPLES” 、 “THRESHOLD VOLTAGE(V)” 分别触发前数据采集的点数、触发后数据采集的点数和触发信号电平门限值的设置(选择“ANALYSIS”菜单,打开“ANALYSIS OPTIONS”对话框,再打开对话框的“INSTRUMENT”卡,卡中也有同样的设置)。
触发发生后,逻辑分析仪按照设置的点数显示触发前波形和触发后波形,并标出触发的

最近更新

家乡春节作文700字作文[5篇范例] 3页

2024年事业单位招聘考试山东省泰安市职业能力.. 23页

2024年事业单位招聘考试江苏省苏州市职业能力.. 23页

2024年事业单位招聘考试内蒙古乌兰察布盟职业.. 22页

2024年事业单位招聘考试山西省吕梁市职业能力.. 24页

高中军训心得(7篇) 10页

家长中考祝福语简短 11页

造雪流程、泵站操作流程 4页

2024年料位传感器项目资金申请报告代可行性研.. 52页

2016年销售年终总结(医疗器械生产企业员工) 4页

安全施工组织设计(铜箔办公楼) 46页

2024年公务员(国考)之行政职业能力测验真题.. 333页

2024年山西职业技术学院单招职业适应性测试试.. 59页

2024年河南省高职单招职业适应性测试模拟试题.. 55页

2024年河南省高职单招职业适应性测试题库及参.. 56页

2024年重庆文理学院单招职业适应性测试试题库.. 75页

一级建造师之一建公路工程实务题库1000道【典.. 302页

一级建造师之一建工程法规题库1000道最新 329页

大件运输路线勘测报告 2页

摩托车产业人工智能应用案例 29页

寓言故事(精选30篇) 32页

2024年上海高考志愿填报时间及指南 3页

关于催人泪下七字祭父诗四篇 3页

交通肇事社区矫正人员思想汇报 5页

呓语歌词--毛不易(共1页) 1页

标准路勘模板 3页

《莲花生大士全传》 44页

中国北车集团大连机车车辆有限公司企业标准 8页