1 / 13
文档名称:

eda课程设计报告.doc

格式:doc   大小:61KB   页数:13页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

eda课程设计报告.doc

上传人:hnxzy51 2022/7/23 文件大小:61 KB

下载得到文件列表

eda课程设计报告.doc

相关文档

文档介绍

文档介绍:-
. z.
--
-
-
. z.
- -教育-
一、 实验目的
〔1〕了解16*16LED的工作原理。
〔2〕了解点阵字符的产生和显示原理。
二、硬件要求
〔1〕主芯片EPF10K10LC84-4。
〔2〕16*16点阵。
〔3〕可变时钟源。
〔4〕四个拨码开关〔显示把戏的选择〕。
三、方案论证
引脚整体可分为四个局部:clk(时钟信号)、din[3…0]〔把戏选择控制〕、dotout[15…0]〔行驱动信号输出〕、selout[3…0]〔列选信号输出〕。
其中有一个分频器的设计,可用一个16位的计数器实现:信号q从00000到11111循环变换,将q的低四位赋给列选信号selout,当q=11111时又可驱动另一计数器工作,实现分频。
第一个把戏的设计:用q驱动一个5位计数器zhen从00000到11111循环变换,当
Zhen=〞00000〞时,dotout=〞00000〞
Zhen=〞00001〞时,dotout=〞00001〞
……
……
Zhen=〞10000〞时,dotout=〞1〞
……
……
Zhen=〞11110〞时,dotout=〞00011〞
Zhen=〞11111〞时,dotout=〞00001〞。
第二个把戏的设计:可参考第三个把戏的设计。
第三个把戏的设计:当zhen1(功能同zhen)=〞00〞时,显示第一个字“航〞,当selout=〞0000〞时,dotout为“航〞字的最后一列代码;当selout=〞0001〞时,dotout为“航〞字的倒数第二列代码,依次类推。其他三个字的设计同“航〞字。
四、模块说明
16*16点阵综合显示实验是用一个整体的程序编写的,所以不可分成模块,但可以分成三个局部:16*16点阵的16列同时从上往下依次点亮,全亮后16列又同时从下往上依次熄灭;显示“飞〞字;依次循环显示“航〞、“天〞、“学〞、“院〞。下面的程序分析中将讨论三个局部的设计。

library ieee;
use ;
use ;
entity dots_test is
port(clk:in std_logic;- -硬件接口
din:in std_logic_vector(3 downto 0);
--
-
. z.
- -教育-
dotout:out std_logic_vector(15 downto 0);
selout:buffer std_logic_vector(3 downto 0));
end dots_test;
architecture a of dots_test is
signal q:std_logic_vector(15 downto 0);
signal zhen:std_logic_vector(4 downto 0);
begin
process(clk,din)
variable h:std_logic_vector(3 downto 0);
variable h1:std_logic_vector(3 downto 0);
variable q1:std_logic_vector(15 downto 0);
variable zhen1:std_logic_vector(1 downto 0);
begin
if clk'event and clk='1' then
if din="0000" then- -把戏一的设计
if q="11111" then q<="00000";- -用于分频
if zhen="11111" then zhen<="00000";
else zhen<=zh