1 / 95
文档名称:

【最新】uvm实战指南.doc

格式:doc   大小:502KB   页数:95页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

【最新】uvm实战指南.doc

上传人:吴老师 2022/7/28 文件大小:502 KB

下载得到文件列表

【最新】uvm实战指南.doc

相关文档

文档介绍

文档介绍:UVM实战指南
/#m=0&t=1&c=fks_08406608709408706408009508709508508608706708708509506808widget对象的内部成员,以及处理的数据内容,仅仅能够输出一些讯息。
对每一个widget的对象,都需要单独添加相关callback对象,假设程序中又创立了一个新的widget对象,那么这个对象的callback queue初始是空的,也就是没有callback。必须再次添加才能让这个新的widget调用相应的callback功能。
callback只有一个地方,可以扩展到多个地方。另外也可以使用function,而不仅仅是task.
工厂模式的简单理解
首先,如果一个客户要用到一款手机,一般的做法是客户去创立一款手机,然后拿来用:
 
这时,客户需要知道怎么去创立一款手机,,就出现了工厂类,把创立手机的操作放到了工厂里面去,客户直接使用工厂的创立手机方法,传入想要的手机型号就行了,而不必去知道创立的细节.
 
随着手机种类越来越多,简单工厂模式出现了弊端,每次新参加手机品种,,而每增加一种新的手机,就增加该手机对应工厂类的实现,这样工厂的设计就可以扩展了,而不必去修改原来的代码:
 
随着工厂规模的继续扩大,:,,把手机工厂跟充电器工厂联系在一起:
抽象工厂模式 工厂方法模式 简单工厂模式
UVM实战指南——第1局部  
2023-10-31 21:54:15|  分类: SystemVerilog |  标签:uvm  |字号 订阅
验证的复杂度已经明显超过设计。为了处理复杂度,面向对象,设计模式等软件设计的思想逐渐渗透到了硬件设计领域。UVM的适时提出,有望能够尽早一统江湖,不再有各门各派军阀割据。
最近,出了一本UVM新书,工作之余,我抽空进行翻译,供大家参考。
英文来源:-- 
UVM实战指南——第1局部
这篇文章是新书?A Practical Guide to Adopting the Universal Verification Methodology?的第四章 UVM library根底的节选。这一章的后续会在之后4周的周一连续发表。如果等不及,。
作者:Sharon Rosenberg and Kathleen A. Meade, Cadence Design Systems
UVM是功能验证的第一个最正确实践和方法学。如之前提到,UVM实现了成熟的高级验证方法。尽管其类库可以任意使用,我们强烈建议按照后续章节描述的方式来使用,因为这些方法源自于成功经验。
这一章讲解库的结构以及根本功能,重点放在大多数验证环境所需要的根本特征上。 
注意:为了简化,例子并没有完全遵循UVM建议的架构和方法学。
这一章主要包括:
使用UVM库
根本类
TLM端口
工厂模式
消息和汇报
配置机制
使用UVM库
为了使用UVM库,用户需要:
编译UVM包的顶层文件:
在所需要的地方导入uvm_pkg
包含UVM宏
Hello World例子
下面的例子功能是在屏幕上显示消息:"Hello World!〞 
1 // Compile the UVM package 
2 `include “〞 
3 module hello_world_example; 
4    // Import the UVM library and include the UVM macros 
5    import uvm_pkg::*; 
6    `include “〞 
7    initial begin 
8        `uvm _info (“info1”,“Hello World!〞, UVM _LOW) 
9     end 
10 endmodule: hello_world_example