1 / 39
文档名称:

并行语句.ppt

格式:ppt   大小:477KB   页数:39页
下载后只包含 1 个 PPT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

并行语句.ppt

上传人:12344 2017/7/30 文件大小:477 KB

下载得到文件列表

并行语句.ppt

相关文档

文档介绍

文档介绍:EDA技术与FPGA应用设计
孙静
物理与机械电子工程学院
并行语句
并行语句是硬件描述语言与一般软件程序最大的区别所在,所有并行语句在结构体中的执行都是同时进行的,即它们的执行顺序与语句书写的顺序无关。
这种并行性是由硬件本身的并行性决定的,即一旦电路接通电源,它的各部分就会按照事先设计好的方案同时工作。
并行语句
VHDL并行语句:
赋值语句
PROCESS进程语句
元件例化语句
BLOCK块语句
GENERATE语句
并行信号赋值语句
赋值语句在进程内使用是顺序执行,在进程外即在结构体中直接使用就是并行语句。
并行信号赋值语句有3种形式:
简单信号赋值
条件信号赋值
选择信号赋值
简单信号赋值语句
语句格式:
目标信号<=表达式;
例5-19:
architecture Behavioral of gate2 is
begin
out1<=A and B;
out2<=A or B;
end Behavioral;
条件信号赋值语句
语句格式:
目标信号<=表达式1 WHEN 条件1 ELSE
表达式2 WHEN 条件2 ELSE
表达式3 WHEN 条件3 ELSE

表达式n;
说明:
从条件1开始逐项测定,一旦赋值条件为Ture,立即将相应表达式值赋给目标信号;
其功能与进程中的IF语句相同;
最后一项表达式可以不跟条件子句,以上所有条件都不满足时,将表达式n赋给目标信号。
条件信号赋值语句
例5-20:
ARCHITECTURE Arcmux OF mux4 IS
BEGIN
y<= i0 WHEN sel="00" ELSE -- 条件代入语句,
--句末无符号 i1 WHEN sel="01" ELSE
i2 WHEN sel="10" ELSE
i3;
END arcmux;
选择信号赋值语句
语句格式:
WITH 选择条件表达式 SELECT
目标信号<=表达式1 WHEN 选择值1,
表达式2 WHEN 选择值2,

表达式n WHEN 选择值n,
表达式 WHEN others;
说明:
根据选择条件表达式取值,将相应选择值对应的表达式赋给目标信号;
该语句与CASE 语句相似,要求覆盖条件表达式的所有取值,并且不允许有条件重叠现象。
除最后一句外各子句句末全是‘,’,而不是‘;’。
选择信号赋值语句
例5-21:
ARCHITECTURE Arcmux OF mux4 IS
BEGIN
WITH SEL SELECT
y<= i0 WHEN "00", --使用","
i1 WHEN "01",
i2 WHEN "10",
i3 WHEN "11",
'Z' WHEN others;
END arcmux;
PROCESS进程语句
进程语句是个复合语句,由顺序语句组成,进程内部的语句是顺序执行的。
在一个结构体中可以有多个进程,各个进程是并发执行的,即结构体中多个进程的执行与各进程的书写顺序无关。
多进程间的通信依靠信号来传递。