1 / 8
文档名称:

完整版武汉科技大学EDA卷.pdf

格式:pdf   大小:463KB   页数:8页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

完整版武汉科技大学EDA卷.pdf

上传人:1781111**** 2024/3/29 文件大小:463 KB

下载得到文件列表

完整版武汉科技大学EDA卷.pdf

相关文档

文档介绍

文档介绍:该【完整版武汉科技大学EDA卷 】是由【1781111****】上传分享,文档一共【8】页,该文档可以免费在线阅读,需要了解更多关于【完整版武汉科技大学EDA卷 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。2009年~2010年第二学期课程名称:EDA技术及应用专业年级:考生学号:考生姓名:■■□闭卷考试方式:开卷试卷类型:A卷B卷□……………………………………………………………………………………………………………………一、填空(20×1分=20分)1SOPC英文:和中文:。2VHDL英文:和中文:。3FPGA英文:和中文:。4A为实数类型的变量,A:=16##E+2;--A的值为。5元件例化的作用由和两部分组成6VHDL源程序的文件名应与相同,文件类型是(后缀名),否则无法通过编译。7VHDL的数据对象包括、和,它们是用来存放各种类型数据的容器。8VHDL允许定义两种不同类型的数组,即数组和数组。9标准逻辑位有九种定义值‘X'表示强未知;‘1'表示强1;‘U'表示未初始化;‘W'表示;‘Z'表示。10一般硬件描述语言可以在三个层次上进行电路描述其层次由高到低依次可分为行为级,RTL级和。二、选择题(10×2分=20分)1结构体中的语句是,进程中的语句是。A顺序的,并行的;B并行的,顺序的;C顺序的,顺序的;D并行的,并行的。2进程中的信号赋值语句,其信号更新是_____。A按顺序完成;B比变量更快完成;C在进程的最后完成;D都不对。3VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考生须在试题图上作解答,请另附该试题图。3、请在试卷类型、考试方式后打勾注明。页)1(第部分,结构体描述___________。A器件外部特性;B器件的内部功能;C器件的综合约束;D器件外部特性与内部功能。4下列标识符中,__________是不合法的标识符。AState0;ot_Ack_0;Dsignall。5关于VHDL中的数字,请找出以下数字中最大的一个:__________。A2#1111_1110#;B8#276#;C10#170#;D16#E#E1。6下面既是并行语句又是顺序语句的是。A变量赋值;B信号赋值;CPROCESS语句;DWHEN…ELSE语句。7在VHDL中,用语句()表示clock的下降沿。Aclock'EVENT;Bclock'EVENTANDclock='1';Cclock='0';Dclock'EVENTANDclock='0'。8下列关于VHDL中信号说法不正确的是:。A信号赋值可以有延迟时间;B信号除当前值外还有许多相关值,如历史信息等,变量只有当前值;C信号可以是多个进程的全局信号;D号值输入信号时采用代入符“:=”,而不是赋值符”<=”,同时信号可以附加延时。9下列不是并行语句的是。A块语句,进程语句;BIF语句,CASE语句;C子程序调用,元件例化;DSELECT语句,生成语句。10VHDL数据对象有三种,下列那另一种不是。A变量;B常量;C矢量;D信号量。三、简答(4×5分=20分)1简述WHEN-ELSE件赋值语句条和IF-ELSE顺序语句的异同。注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考生须在试题图上作解答,请另附该试题图。3、请在试卷类型、考试方式后打勾注明。页)2(第2描述VHDL的程序结构。3阐述过程和函数的区别。4阐述BIT,BIT_VECTOR,STD_LOGIC和STD_LOGIC_VECTOR的不同。四、程序分析题(每小题均有错误,指出错误之处并说明错误原因)(共10分)分析以下程序段中的赋值语句,判断正误并指明错误原因。(2分)1VARIABLEA,B,C:BIT;SIGNALK,L,M,N:BIT;...A<=CANDB;N:=M;K<=L;2指出以下CASE语句中的语法错误。(2分)SIGNALvalue:INTEGERRANGE0TO15;SIGNALout1:STD_LOGIC;...CASEvalueISWHEN0=>out1<=‘1';WHEN1=>out1<=‘0';ENDCASE;...指出下列程序段中的错误。(2分)3LIBRARYIEEE;;ENTITYMUX21ISPORT(A,B:INSTD_LOGIC;SEL:INSTD_LOGIC;C:OUTSTD_LOGIC);ENDENTITYMUX21;ARTHITECTUREONEOFMUX21ISBEGINPROCESS(A,B,SEL)BEGINIFSEL=‘0'THENC:=A;ELSEC:=B;ENDIF;ENDPROCESS;ENDARTHITECTUREONE;下面程序段中CLK(时钟端),CLR(清零端)T定义为INTEGER4类型,指出下列程序段中的语法错误。(4分)...PROCESS(CLK)注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考生须在试题图上作解答,请另附该试题图。3、请在试卷类型、考试方式后打勾注明。页)3(第T:INTEGER;BEGINWAITUNTILCLK'EVENTANDCLK=‘1';--等待时钟CLK的上沿IF(CLR=‘1'T=9)THENCNT<=0;ELSECNT<=CNT+1;ENDIF;ENDPROCESS;五、程序设计题(30分)1下图是74LS138的外观图,请用VHDL编写程序实现其功能。(10分)2用VHDL设计一个带清零CLR和复位RST的24进制的计数器。(10分)3利用VHDL设计一个8选1多路选择器。注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考生须在试题图上作解答,请另附该试题图。3、请在试卷类型、考试方式后打勾注明。页)4(第