1 / 20
文档名称:

正负脉宽数控调制信号发生器设计报告书.pdf

格式:pdf   大小:1,878KB   页数:20页
下载后只包含 1 个 PDF 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

正负脉宽数控调制信号发生器设计报告书.pdf

上传人:1781111**** 2024/5/11 文件大小:1.83 MB

下载得到文件列表

正负脉宽数控调制信号发生器设计报告书.pdf

相关文档

文档介绍

文档介绍:该【正负脉宽数控调制信号发生器设计报告书 】是由【1781111****】上传分享,文档一共【20】页,该文档可以免费在线阅读,需要了解更多关于【正负脉宽数控调制信号发生器设计报告书 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。:.............................................................................................................................................Ⅱ的简介及起源......................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................10参考文献.........................................................................................................................................11附录1整体原理框图....................................................................................................................12附录2设计程序............................................................................................................................13课题十一正负脉宽数控调制信号发生器一、。。。二、课题原理计数器进位脉冲A选择反馈控制输出信号电路反馈控制计数器B时钟脉冲进位脉冲:..图5:正负脉宽数控调制信号发生器框图预置计数器比普通计数器多了一个预置端LD和预置数据端DATA。当LD=1(或0)时,在下一个时钟脉冲过后,计数器输出端输出预置数DATA。图5是正负脉宽数控调制信号发生器电路的框图。从图中可以看到输出脉宽调制信号由计数器A、B的进位脉冲信号控制。计数器A的进位脉冲使输出信号输出正脉冲,计数器B的进位脉冲使输出信号输出负脉冲,同时反馈信号使计数器A、B分别重新置数,从而达到控制正负脉冲宽度的目的。三、。。。四、,也可以用VHDL语言的进程语句进行描述。,输出信号线应定义成Buffer类型。五、。。。。:..Ⅱ的简介及起源EDA是英文“electronicdesignautomation”(电子自动化设计)的缩写,EDA技术是20世纪90年代迅速发展起来的,是现代电子设计的最新技术潮流,是综合现代电子技术和计算机技术的最新研究成果,是电子线路设计与分析的一门技术。EDA包括电子线路的设计、计算机模拟仿真和电路分析及印制电路板的自动化设计三个方面的内容。随着可编程逻辑器件迅速发展,出现了功能强大的全新的EDA工具。具有较强描述能力的硬件描述语言(VHDL、VerilogHDL)及高性能综合工具的使用,使过去单功能电子产品开发转向系统级电子产品开发。QuartusⅡ是Altera提供的FPGA/CPLD开发集成环境,Altera是世界上最大的可编程逻辑器件供应商之一。QuartusⅡ是在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plusⅡ的更新换代产品,其界面友好,使用环境便捷。它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。QuartusⅡ设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verlog逻辑综合器。QuartusⅡ包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis&Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(DesignAssistant)、EDA网表文件生成器(listWriter)、pilerDatabaseInterface)等。pilation来运行所有的编译器模块,也可以通过选择Start来单独运行各个模块。pilerTool,在CompilerTool窗口中运行该模块来启动编译器模块。在CompileTool窗口中,可以打开该模块的设置文件或报告文件,或打开其它相关窗口。1:..:?用HDL对数字系统进行抽象的行为与功能描述到具体的内部线路结构,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。?EDA工具之所以能够完成各种自动设计过程,关键是有种类库的支持,如逻辑仿真时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等。?某些HDL本身也是文档型的语言(如VHDL),极大地简化了设计文档的管理。?EDA中最为瞩目的功能,最具现代化电子设计技术特征的功能,是日益强大的逻辑设计仿真测试技术。极大地提高了大规模系统电子设计的自动化程度。EDA的发展趋势,表现在以下几个方面:?超大规模集成电路的集成度和工艺水平不断提高,深亚微米(Deep-Submicron)工艺,、90nm已经走向成熟,在一个芯片上完成的系统级的集成已经成为可能。?由于工艺不断减小,在半导体材料上的许多寄生效应已经不能简单地补码忽略,这就对EDA工具提出了更高的要求。同时,也使得IC生产线的投资更为巨大。?高性能的EDA工具得到长足的发展,其自动化和智能化程度不断提高,为嵌入式系统设计提供了功能强大的开发环境。?市场对电子产品提出了更高的要求,从而也对系统的集成度不断提出更高的要求。同时,设计的效率也成了一个产品能否成功的因素,促使EDA工具应用更为广泛。2:..:脉冲输入端CLK、加载使能输入端LD(高电平有效)、预置输入端D、计数进位输出端CAO。当脉冲CLK上升沿到来之时,若加载使能端LD有效,则通过预置端D可对计数器进行预置数。之后,计数器就以此预置数为始,一直加1计数。至计数到255时,输出端CAO输出1。。其VHDL程序如下:LIBRARYIEEE;;T8ISPORT(CLK,LD:INSTD_LOGIC;D:ININTEGERRANGE0TO255;CAO:OUTSTD_LOGIC);T8;T8ISSIGNALCOUNT:INTEGERRANGE0TO255;BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENIFLD='1'THENCOUNT<=D;ELSECOUNT<=COUNT+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(COUNT)3:..BEGINIFCOUNT=255THENCAO<='1';ELSECAO<='0';ENDIF;ENDPROCESS;ENDBEHAVE;:..,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。如果将初始值可预置的加法计数器的进位信号作为本计数器的初始预置加载信号LD,则可构成计数初始值自加载方式的加法计数器,从而构成数控分频器,。这就是本设计的核心部分。取顶层文件中信号PINT,当计数器1输出CAO1=1时,PINT=0;当计数器2输出CAO2上升沿脉冲到来时,PINT=1。将PINT赋予信号发生器的输出端POUT,就可以得到高低电平宽度可调的方波信号。先将预置数设置好,则CAO1输出1后,由LD1=NOTPINT=1,计数器1立刻进行预置,重新计数;计数器2亦然。于是产生持续的可调脉宽信号发生器。其相应的VHDL程序如下:LIBRARYIEEE;;ENTITYPULSEISPORT(CLK:INSTD_LOGIC;A,B:INSTD_LOGIC_VECTOR(7DOWNTO0);POUT:OUTSTD_LOGIC);ENDPULSE;ARCHITECTUREBEHAVEOFPULSEIST8PORT(CLK,LD:INSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);5:..CAO:OUTSTD_LOGIC);PONENT;SIGNALCAO1,CAO2:STD_LOGIC;SIGNALLD1,LD2:STD_LOGIC;SIGNALPINT:STD_LOGIC;BEGINU1:LCNT8PORTMAP(CLK=>CLK,LD=>LD1,D=>A,CAO=>CAO1);U2:LCNT8PORTMAP(CLK=>CLK,LD=>LD2,D=>B,CAO=>CAO2);PROCESS(CAO1,CAO2)BEGINIFCAO1='1'THENPINT<='0';ELSIFCAO2'EVENTANDCAO2='1'THENPINT<='1';ENDIF;ENDPROCESS;LD1<=NOTPINT;LD2<=PINT;POUT<=PINT;ENDBEHAVE;6:..,本设计对预置输入进行数码显示。因为计数器范围是0~255,故而一个预置数的显示要用到3个数码管;一共两组预置数,则要用到6个数码管,所以设计一个6进制计数器COUNT6来控制数码管的位选。至于数码管的段选,先将预置8位输入D转化为12位的供3个数码管显示的数组,当COUNT6计数为0时,把第一个12位数组(对应第一个预置数)中的低4位送入译码管的输入端BEDIN;当计数为1时,把中间4位送入译码管的BEDIN;当计数为2时,把高4位送入译码管的BEDIN;当计数为3时,把第二个12位数组(对应第二个预置数)中的低4位送入译码管的输入BEDIN端……如此可实现对输入的数码显示。考虑到实验箱上提供的脉冲是20MHz,既不便于灯的观察又不便于数码显示,所以设计了一个1000Hz的分频器,将脉冲分频为1000Hz的脉冲输入。总体设计原理框图如附录1。7:..=252,B=254。可得到仿真波形,:,A、B预置数输入利用16个拨码开关可实现,A、B各占8个。可调脉宽的输出POUT可接PIN_104,以便用发光二极管的亮灭速度来观察结果。T接3-8译码器的输入SEL,译码器SEG7DEC的输出SEGT接数码管的段选输入端。::..,通过PIN_49~PIN_56对应的8个拨码开关输入控制高电平信号脉宽的预置数(对应于A输入),并显示于数码管1、2、3;再通过PIN_57~PIN_62、PIN_67、PIN_68对应的8个拨码开关输入控制低电平信号脉宽的预置数(对应于B输入),并显示于数码管4、5、6。可观察到,当A较低,而B输入较高时,发光二极管的点亮时间大于熄灭时间;当A较高,B输入较低时,发光二极管的点亮时间少于熄灭时间;当A输入255时,POUT=0,则发光二极管一直保持熄灭状态。也可以将POUT接入到示波器,通过观察示波器的显示波形得到结果。:..,手工设计占了较大的比例。一般都是先按电子系统的具体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺图进行手工逻辑简化,写出布尔表达式,画出相应的逻辑线路图,再据此选择元器件,设计电路板,最后进行实测与调试。显然,手工设计的缺点让人越来越不可接受。故而,EDA技术变得越来越重要,作为当代大学生,要与时俱进,更要掌握这种电子自动化设计。通过EDA的模拟编译、适配、仿真,可以大大缩短设计周期,降低设计成本。EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后,还能对系统的目标器件进行所谓边界扫描测试、嵌入式逻辑分析仪的应用,这一切都极大地提高了大规模系统电子设计的自动化程度。至于课设报告中涉及到的WORD排版知识,随着一次次课设的进行,也一点点积累,现在可以说是得心应手。至少在排版时不会遇到障碍。总之本次课设,让我重新熟悉了QuartusⅡ、WORD两个常用软件,也复****了一遍VHDL语言的相关知识,又熟悉了一遍用VHDL语言进行编程的过程。:..潘松,(第2版).北京:清华大学出版社,2007.[2]孟庆辉,刘辉,程继航,:国防工业出版社,2008.[3]:华中科技大学出版社,2009.[4]:人民邮电出版社,2009.[5]王辉,殷颖,陈婷,+:***出版社,2007.:..录1整体原理框图:..2设计程序自加载加LIBRARYIEEE;;LCNT8T8ISPORT(CLK,LD:INSTD_LOGIC;D:ININTEGERRANGE0TO255;CAO:OUTSTD_LOGIC);T8;T8ISSIGNALCOUNT:INTEGERRANGE0TO255;BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENIFLD='1'THENCOUNT<=D;ELSECOUNT<=COUNT+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(COUNT)BEGINIFCOUNT=255THENCAO<='1';ELSECAO<='0';ENDIF;ENDPROCESS;ENDBEHAVE;1000Hz分频LIBRARYIEEE;;;;ENTITYDIVISGENERIC(DATAWIDTH:INTEGER:=25);PORT(CLK:INSTD_LOGIC;QO:OUTSTD_LOGIC);END;ARCHITECTUREBHVOFDIVISSIGNALCOUNT:STD_LOGIC_VECTOR(DATAWIDTH-1DOWNTO0);BEGIN:..PROCESS(CLK)BEGINIFRISING_EDGE(CLK)THENIFCOUNT=20000THENCOUNT<=(OTHERS=>'0');ELSECOUNT<=COUNT+1;IFCOUNT<10000THENQO<='0';ELSEQO<='1';ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDBHV;6进制计数LIBRARYIEEE;;;ENTITYCOUNT6ISPORT(CLK:INSTD_LOGIC;CNTOUT:BUFFERSTD_LOGIC_VECTOR(2DOWNTO0));END;ARCHITECTUREBHVOFCOUNT6ISBEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENTOUT<5THENCNTOUT<=CNTOUT+1;TOUT<=(OTHERS=>'0');ENDIF;ENDIF;ENDPROCESS;END;将8位预置LIBRARYIEEE;;位数组changeENTITYCHANGEISPORT(D:INSTD_LOGIC_VECTOR(7DOWNTO0);DOUT:OUTSTD_LOGIC_VECTOR(11DOWNTO0));ENDCHANGE;14:..ARCHITECTUREBHVOFCHANGEISBEGINPROCESS(D)BEGINCASEDIS………………ENDCASE;ENDPROCESS;ENDBHV;段选译码器LIBRARYIEEE;;ENTITYSEG7DECISPORT(BEDIN:INSTD_LOGIC_VECTOR(3DOWNTO0);SEGOUT:OUTSTD_LOGIC_VECTOR(6DOWNTO0));ENDSEG7DEC;ARCHITECTUREBHVOFSEG7DECISBEGINPROCESS(BEDIN)BEGINCASEBEDINIS15:..WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;ENDBHV;顶层文件LIBRARYIEEE;;;ENTITYPULSEISPORT(CLK:INSTD_LOGIC;A,B:INSTD_LOGIC_VECTOR(7DOWNTO0);POUT:OUTSTD_LOGIC;SEGT:OUTSTD_LOGIC_VECTOR(6DOWNTO0);CNT:OUTSTD_LOGIC_VECTOR(2DOWNTO0));ENDPULSE;ARCHITECTUREBEHAVEOFPULSEIST8PORT(CLK,LD:INSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);CAO:OUTSTD_LOGIC);PONENT;COMPONENTCOUNT6ISPORT(CLK:INSTD_LOGIC;CNTOUT:BUFFERSTD_LOGIC_VECTOR(2DOWNTO0));PONENT;COMPONENTCHANGEISPORT(D:INSTD_LOGIC_VECTOR(7DOWNTO0);DOUT:OUTSTD_LOGIC_VECTOR(11DOWNTO0));PONENT;COMPONENTSEG7DECISPORT(BEDIN:INSTD_LOGIC_VECTOR(3DOWNTO0);SEGOUT:OUTSTD_LOGIC_VECTOR(6DOWNTO0));PONENT;COMPONENTDIVISPORT(CLK:INSTD_LOGIC;QO:OUTSTD_LOGIC);PONENT;16:..SIGNALCAO1,CAO2,CLKO:STD_LOGIC;SIGNALLD1,LD2,PINT:STD_LOGIC;SIGNALBED1:STD_LOGIC_VECTOR(3DOWNTO0);T1:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALDOUT1,DOUT2:STD_LOGIC_VECTOR(11DOWNTO0);BEGINU1:LCNT8PORTMAP(CLK=>CLKO,LD=>LD1,D=>A,CAO=>CAO1);U2:LCNT8PORTMAP(CLK=>CLKO,LD=>LD2,D=>B,CAO=>CAO2);U3:COUNT6PORTMAP(CLK=>TOUT=>CNT1);U4:CHANGEPORTMAP(D=>A,DOUT=>DOUT1);U5:CHANGEPORTMAP(D=>B,DOUT=>DOUT2);U6:SEG7DECPORTMAP(BEDIN=>BED1,SEGOUT=>SEGT);U7:DIVPORTMAP(CLK=>CLK,QO=>CLKO);T1)BEGINT1=0THENBED1<=DOUT1(3DOWNTO0);T1=1THENBED1<=DOUT1(7DOWNTO4);T1=2THENBED1<=DOUT1(11DOWNTO8);T1=3THENBED1<=DOUT2(3DOWNTO0);T1=4THENBED1<=DOUT2(7DOWNTO4);T1=5THENBED1<=DOUT2(11DOWNTO8);ENDIF;ENDPROCESS;CNT<=CNT1;PROCESS(CAO1,CAO2)BEGINIFCAO1='1'THENPINT<='0';ELSIFCAO2'EVENTANDCAO2='1'THENPINT<='1';ENDIF;ENDPROCESS;LD1<=NOTPINT;LD2<=PINT;POUT<=PINT;ENDBEHAVE;17:..武汉理工大学《数字电子技术》课程设计说明书