1 / 11
文档名称:

vhdl下秒表的设计.doc

格式:doc   大小:99KB   页数:11页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

vhdl下秒表的设计.doc

上传人:mkjafow 2018/2/21 文件大小:99 KB

下载得到文件列表

vhdl下秒表的设计.doc

相关文档

文档介绍

文档介绍:西安文理学院
物理与机械电子工程学院
课程设计报告
专业班级 10级测控技术与仪器1班
课程 FPGA/CPLD原理及应用
题目秒表的设计
学号
姓名安永哲
同组人李小鹏
成绩
2013年5月
一、设计目的
进一步掌握QUARTUSⅡ软件的使用方法;
会使用VHDL语言设计小型数字电路系统;
掌握应用QUARTUSⅡ软件设计电路的流程;
掌握用扫描方法驱动多个数码管硬件结构,并进一步熟悉七段译码器的硬件接口,掌握秒表VHDL的编程方法。能够应用VHDL的编程方法去完成一些与生活相关的实物。
二、系统总体设计
1. 完成秒/分/时的依次显示并正确计数;
2. 秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位;
3. 报时:实现整点报时,又扬声器发出报时声音;
4。计数起由四个十进制计数器和两个六进制计数器组成;
图1 系统原理框图
脉冲输入
Seltime模块
计数模块
数码管显示
报警模块

三、各模块详细设计
COUNT6模块
根据脉冲的到来实现六进制计数
library ieee;
use ;
use ;
entity count6 is
port (clk,start,clr : in std_logic;
cout : out std_logic;
daout: out std_logic_vector(3 downto 0));
end count6;
architecture xiaopeng of count6 is
signal q0 : std_logic_vector(3 downto 0);
signal q1 : std_logic;
begin
process(clk,clr)
begin
if clr='1' then q0<="0000";
elsif clk'event and clk='1' then
if start='1' then
if q0="0101" then
q0<="0000";
q1<='1';
else q0<=q0+1;
q1<='0';
end if;
end if;
end if;
end process;
daout<=q0;
cout<=q1;
end xiaopeng;
仿真图:
COUNT10模块
根据脉冲的到来实现六进制计数
library ieee;
use ;
use ;
entity count10 is
port (clk,start,clr : in std_logic;
cout : out std_logic;
daout : out std_logic_vector(3 downto 0));
end count10;
architecture xiaopeng of count10 is
signal q0 : std_logic_vector(3 downto 0);
signal q1 : std_logic;
begin
process(clk,clr)
begin
if clr='1' then q0<="0000";
elsif clk'event and clk='1' then
if start='1' then
if q0="1001" then
q0<="0000";
q1<='1';
else q0<=q0+1;
q1<='0';
end if;
end if;
end if;
end process;
daout<=q0;
cout<=q1;
end xiaopeng;
仿真图:
ALERT2模块
library ieee;
use ;
use ;
entity alert2 is
port(clk,I:in std_logic;
q:out std_logic
);
end alert2;
architecture xiaopeng of alert2 is
signal n:integer range 0 to 19;
signal q0:std_logic;
begin
process(clk)
begin
if clk'event a

最近更新

校企长期合作协议(3篇) 7页

2024年岳父生日宴会答谢词3篇 4页

基于OSI模型的EIB协议栈设计与实现的开题报告.. 2页

正式的服务合同(精华5篇) 19页

果树认养协议书 4页

水浒传读心得读书心得模板6篇 8页

消防合同范本模板(3篇) 10页

2024年就这样慢慢长大作文400字(精选25篇) 25页

独家代理授权合同(3篇) 12页

基于KMV模型的创业板上市公司信用风险度量研究.. 2页

班组与员工安全责任书 9页

用工合同集锦(34篇) 98页

2024年少年维特的烦恼读后感范文(精选10篇).. 22页

基于ICE的隧道仿真监控系统的设计与实现的开题.. 2页

基于Hadoop的大数据相关性分析与实现中期报告.. 2页

基于GPS的水田平地机设计与试验研究的开题报告.. 2页

机械设备安装合同 6页

基于FPS200的指纹采集系统的设计与实现的开题.. 2页

基于FPGA的16通道超声相控阵控制系统的研究的.. 2页

基于EVA和BS估值方法的TCL集团企业价值评估研.. 2页

基于DSP的风力发电并网逆变器设计的开题报告 2页

基于DPSIR模型的山西省水资源可持续性评价中期.. 2页

云南公务员录用体检通用标准(试行) 5页

入党积极分子考察表(打印版) 6页

2023年学前教育毕业论文2000字范文(精选3篇) 29页

社区矫正人员每月思想汇报 3页

招标投标-劳务投标技术标 94页

大乘离文字普光明藏经拼音版 10页

民间祖传秘方大全 33页

产品返修管理流程图 8页