1 / 7
文档名称:

微波炉.txt

格式:txt   页数:7页
下载后只包含 1 个 TXT 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

微波炉.txt

上传人:rjmy2261 2015/5/23 文件大小:0 KB

下载得到文件列表

微波炉.txt

相关文档

文档介绍

文档介绍:微波炉定时器芯片的顶级实现:
library ieee;
use ieee. ;
entity Microwave_Timer is
port( clk:in std_logic;
data:in std_logic_vector(15 downto 0);
reset:in std_logic;
set_time:in std_logic;
start_cook:in std_logic;
test:in std_logic;
cook:out std_logic;
min_lsb:out std_logic_vector(1 to 7);
min_msb:out std_logic_vector(1 to 7);
sec_lsb:out std_logic_vector(1 to 7);
sec_msb:out std_logic_vector(1 to 7);
end Microwave_Timer;
architecture struct of Microwave_timer is
signal data_tmp:std_logic_vector(15 downto 0);
signal cook_tmp,load_888,load_done,load,down,done:std_logic;
component Microwave
port( clk:in std_logic;
done:in std_logic;
reset:in std_logic;
set_time:in std_logic;
start_cook:in std_logic;
test:in std_logic;
cook:out std_logic;
load_8888:out std_logic;
load_clk:out std_logic;
load_done:out std_logic);
ponent;
componment Loader
port(data:in std_logic_vector(15 downto 0);
load_8888:in std_logic;
load_clk:in std_logic;
load_done:in std_logic;
load:out std_logic;
load_val:out std_logic_vector(15 downto 0);
ponent
component Timer
port (clk:in std_logic;
data:in std_logic_vector(15 downto 0);
down:in std_logic;
load:in std_logic;
done:out std_logic_vector(1 to 7);
min_lsb:out std_logic_vector(1 to 7);
min_msb:out std_logic_vector(1 to 7);
sec_lsb:out std_logic_vector(1 to 7);
sec_msb:out std_logic_vector(1 to 7);
ponent;
beg