1 / 15
文档名称:

EDA数字秒表设计论文.doc

格式:doc   大小:249KB   页数:15页
下载后只包含 1 个 DOC 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

EDA数字秒表设计论文.doc

上传人:qiang19840906 2018/8/14 文件大小:249 KB

下载得到文件列表

EDA数字秒表设计论文.doc

文档介绍

文档介绍:《EDA技术实用教程》
课程实****报告

题目: 数字秒表设计
专业: 电子信息工程
班级: 信息09-1
指导教师: 蔡靖
完成时间: 2011年11月11日
姓名:黄凌伟(9)、李超逸(10)、李辉(11)、李培军(12)
内容摘要
应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。
秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、12500的分频器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。
秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器连接。开关设置秒表报警器,每10秒钟,蜂鸣器鸣响1声,发光二极管闪烁。当计时达60分钟后,蜂鸣器鸣响10声。
关键词:VHDL、数据选择器、计数器、显示器
目录
系统组成框图……………………………………………………5
各模块原理及其程序……………………………………………5
六进制计数器……………………………………………………6
十进制计数器…………………………………………………6
蜂鸣器………………………………………………………7
译码器…………………………………………………………8
控制器…………………………………………………………9
系统仿真………………………………………………………10
六进制计数器…………………………………………………10
十进制计数器…………………………………………………10
蜂鸣器………………………………………………………10
译码器………………………………………………………10
控制器………………………………………………………10
四、心得体会……………………………………………………11
课程设计任务书
课题名称
数字秒表设计
完成时间

指导教师
蔡靖
学生姓名
黄凌伟、李超逸、李辉、李培军
班级
信息09-1
总体设计要求和技术要点
总体设计要求: 通过本课程的学****使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。
技术要点:
数字秒表的计时范围是0秒—,显示的最长时间为59分59秒。
数字秒表的计时精度是10ms。
复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备。
具有启/停开关,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关则停止计时。
有置位功能,置位数可调。
创新之处
给秒表设计定点报时,报时开关可以自己控制。
在秒表计时时在开发板上加了流水灯,看起来更加漂亮。
除了分钟之外我们还加了小时。
课程设计成果




设计过程
数字秒表
计时控制电路
控制状态机
计时电路
显示电路
分频电路
计数器
六进制计数器
扫描电路
八段译码器
十进制计数器
系统组成框图





LIBRARY IEEE;
USE ;
USE ;
ENTITY MIAOBIAO IS
PORT(CLK,RST,EN,LOAD,LOADN,SW:IN STD_LOGIC;
DATA1:IN STD_LOGIC;DATE1:IN STD_LOGIC;
DATA2:IN STD_LOGIC;DATE2:IN STD_LOGIC;
DATA3:IN STD_LOGIC;DATE3:IN STD_LOGIC;
DATA4:IN STD_LOGIC;DATE4:IN STD_LOGIC;
DATA5:IN STD_LOGIC;DATE5:IN STD_LOGIC;
DATE6:IN STD_LOGIC;NAO:IN STD_LOGIC;
DOUT1:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUT2:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUT3:OUT