1 / 46
文档名称:

FPGA实验报告.docx

格式:docx   大小:1,909KB   页数:46页
下载后只包含 1 个 DOCX 格式的文档,没有任何的图纸或源代码,查看文件列表

如果您已付费下载过本站文档,您可以点这里二次下载

分享

预览

FPGA实验报告.docx

上传人:miaoshen1985 2018/10/24 文件大小:1.86 MB

下载得到文件列表

FPGA实验报告.docx

文档介绍

文档介绍:实验一 Quartus使用环境和简单电路设计
一、实验目的
1. 熟悉Quartus Ⅱ软件基本使用方法;
2. 掌握电路的基本设计方法;
3. 掌握电路的基本仿真方法;
4. 掌握电路的基本下载方法。
二、实验器材
1. KH-310 下载板;
2. KH-310 LED 显示模块。
三、文件档名
加载:
烧录:
工程项目文件名:
四、实验内容
用VHDL 语言和原理图分别完成设计、仿真和下载基本逻辑门电路半加器的过程:
输入:a,b; 输出:求和sum,进位c;
五、实验步骤
1. 新建文本文件
在Quartus Ⅱ管理器界面中选择File/New.., 菜单,出现New 对话框, 所示。在对话框中选择VHDL File,点击OK,打开文本编辑器,输入半加器的VHDL 语言程序, 所示。。需要注意的是,文件名与模块名必须一致。
Quartus Ⅱ新建文本文件界面
输入半加器的VHDL 语言程序
保存文件
2. 建立工程项目
(1)在管理器窗口中选择File/New Project Wizard...菜单,出现新建项目向导New Project Wizard 对话框,输入项目目录(r:\test)、项目名称(halfadd)和顶层实体(halfadd), 所示,顶层实体名可以与项目名不同。
建立工程项目
(2)点击Next,添加文件对话框。点击按钮“…”,添加与该项目有关的所有文件到当前项目,如图
所示。,所以不用添加其它器件。


添加项目有关的文件
(3)点击Next 按钮,再点击Next 选择目标器件系列Cyclone,点击NEXT 选择目标器件封装形式,引脚数目和速度级别。 所示,点击NEXT。
选择目标器件
(4)点击FINISH 按钮,项目halfadd 出现在项目导航窗口中, 所示。双击文件名,即可打开文件。
打开文件
3. 编译并综合
选择菜单Processing\plition 或直接点击工具栏中编译快捷按钮开始编译。编译过程
中,状态窗口显示编译进度的百分比和每个阶段所花费的时间。信息窗口显示所有信息,警告和错误,双击某个信息项,可以定位到原设计文件并高亮显示。编译完成后将产生一个编译报告栏,编译结果在编译报告栏中自动更新, 所示。报告栏包含了将一个设计适配到器件的所有信息。选中某一项可获得更详细的信息。如编译有错误,需要修改设计进行,并重新编译。
编译并综合文件
4. 设计仿真
(1)
选择菜单File/New..,在New 对话框中选择Other Files/Vector Waveform File,出现空的仿真波形文件。。 所示:
编译快捷键信息窗口
打开仿真波形文件
(2)加入节点
选择菜单Edit /Insert Node or Bus..,在Insert Node or Bus 对话框中点击按钮Node Finder,先在Filter 项里选择Pins:all,然后点击List, 所示:
列出仿真节点
点击“》”(全部选中)或“>”(一个一个加入)选择要仿真节点,“《”和“<”删除所选节点。
点击OK,加入节点。 所示:
选择仿真节点
(3)确定仿真时间和编辑激励波形
选择菜单Edit/End Time..,可以调整最大仿真时间。本例使用200ns, 所示;选择菜单Edit\Grid Size..,可以修改网格大小,通常用网格大小表示信号状态的基本维持时间,本例输入5ns, 所示。编辑激励波形时,先选中Name 栏的一个节点,然后单击图形工具菜单中的赋值快捷键, 所示,根据要求编辑波形。

修改网格大小
编辑激励波形
(4)运行仿真器
保存后选择Processing \Start Simulation 菜单,或点击工具栏中的仿真快捷键按钮运行仿真器, 所示。如果仿真结果有错误,需要修改设计并重新编译仿真。
(5)管脚的分配与定位
选择Assignment\ Pins 菜单,打开引脚分配窗口, 所示。进行管脚分配:下面以33001下载板为例